自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(0)
  • 资源 (7)
  • 收藏
  • 关注

空空如也

典型FPGA实例 SDRAM读写控制的实现与Modelsim仿真

1. 本实例用于控制开发板上面的SDRAM完成读写功能; 先向SDRAM里面写数据,然后再将数据读出来做比较,如果不匹配就通过LED变亮显示出来,如果一致,LED就不亮。 2. part1目录是使用Modelsim仿真的工程; 3. part2目录是在开发版上面验证的工程; 2.1. part1_32目录是4m32SDRAM的仿真工程; 2.2. part1_16目录是4m16SDRAM的仿真工程; \model文件夹里面是仿真模型; \rtl文件夹里面是源文件; \sim文件夹里面是仿真工程; \test_bench文件夹里面是测试文件; \wave文件夹里面是仿真波形。 3.1. 工程在\project文件夹里面; 3.2. 源文件和管脚分配在\rtl文件夹里面; 3.3. 下载文件在\download文件夹里面,.mcs为PROM模式下载文件,.bit为JTAG调试下载文件。

2011-02-20

四种常用FPGA/CPLD 设计思想与技巧

本文讨论的四种常用FPGA/CPLD 设计思想与技巧:乒乓操作、串并转换、流水线操作、数据 接口同步化,都是FPGA/CPLD 逻辑设计的内在规律的体现,合理地采用这些设计思想能在 FPGA/CPLD 设计工作种取得事半功倍的效果

2011-02-20

synplify pro经典教程

synplify pro 的经典教程,西安交大SOC设计中心:沈云红

2011-02-20

verilog十大基本功

最近对国内的主要EDA 论坛做了一项调查,耗时一个多月,经过仔细的总结,发现一个有趣的现象:国内关于HDL 提出问题的帖子,有80%都集中在一小部分基本问题上。同样的一个问题,从5 年前开始就有人问起,一直问到今天,很可能还会持续到N 年后。貌似新人们总也避不开这些说难其实也不难的问题,而且实际的调查还发现,就连好多工作多年的工程师们对这些问题也是稀里糊涂,似懂非懂。为了帮助大家一劳永逸解决这些基本难题,从而迈向自由编程的康庄大道,我们特地开辟了这个版块,要把这些基本功一一传授给大家。如果觉得我们的帖子还不过瘾,你可以回帖继续提问,务必把所有概念搞清搞懂。另外所谓十项只是一个概述,请勿过分计较。 谢谢大家的关注和支持。

2011-02-20

HuaWei Verilog 约束

华为FPGA编程规范,编写verilog代码时应注意的书写规范。

2011-02-20

电源设计技巧,适合有模电基础的人用

本文罗列了八个电源工程师常用的电源设计技巧: 一 反激式电 二 使用现有的消弧电路提供过流保护 ....

2010-02-02

06《无线电》里的多功能万年历程序和原理图PCB图

06年无线电的万年历,和本人用protel绘制的原理图和PCB图程序等,此万年历完全89S52控制12864显示,功能齐全,红外操作、新历农历、整点报时,语音功能、闹钟等什么都有,希望可以给大家交流学习下,祝大家制作成功

2009-08-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除