自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

zy010101博客

目前在做Python开发,网络安全。

  • 博客(10)
  • 资源 (9)
  • 收藏
  • 关注

原创 VHDL实现分频器

10分频电路(非分频器)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY clk_div IS PORT(clkin:IN STD_LOGIC; clkout:OUT STD_LOGIC);END clk_di...

2019-04-17 12:22:27 26743 8

原创 VHDL实现计数器

10进制同步计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cnt10 IS PORT(clk:IN STD_LOGIC; data:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); co:OUT STD_LOGIC);END cnt10;...

2019-04-17 11:37:40 29951 11

原创 VHDL设计触发器和锁存器

D触发器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY dtrigger IS PORT(d,clk:IN STD_LOGIC; q,dq:OUT STD_LOGIC);END dtrigger;ARCHITECTURE dtrigger_behavior OF dtrigger ISBEGIN PROCESS(clk) B...

2019-04-16 22:33:05 6715 5

原创 VHDL实现加法器的设计

1位半加器LIBRARY IEEE;LIBRARY IEEE.STD_LOGIC_1164.ALL;ENTITY half_add_1 IS PORT(a:IN STD_LOGIC; b:IN STD_LOGIC; co:OUT STD_LOGIC; s:OUT STD_LOGIC);END half_add_1;ARCHITECTURE half_add_1_beha...

2019-04-14 22:55:35 5008

原创 VHDL实现编码器和译码器

普通编码器(8——3编码器)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY encode8_3 IS PORT(input:IN STD_LOGIC_VECTOR(7 DOWNTO 0); output:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END encode8_3;ARCHITECTURE enc...

2019-04-14 22:29:07 9956 10

原创 VHDL实现8选1数据选择器

8选1数据选择器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux8 IS PORT(data:IN STD_LOGIC_VECTOR(0 TO 7); addr:IN STD_LOGIC_VECTOR(2 DOWNTO 0); output:OUT STD_LOGIC);END mux8;ARCHITECTURE mux...

2019-04-14 22:01:02 25215 3

原创 VHDL实现与门,或门,非门。

行为描述方法实现--二输入与门LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY and2 IS PORT(a,b:IN STD_LOGIC; c:OUT STD_LOGIC);END and2;ARCHITECTURE and2_behavior OF and2 ISBEGIN c<= a AND b;END and2...

2019-04-14 21:48:19 27713 9

原创 硬件描述语言VHDL——元件例化

VHDL语言的一大特点是“自顶向下”进行硬件设计。这样的方式能最大化利用接口的优点。从系统的观点来看,这是层次化的设计,有利于扩展。元件例化元件例化,简单来说就是将以前设计的实体当做本设计的一个元件,然后利用VHDL语句将各元件之间的连接关系描述出来。元件例化语句由两部分组成,一部分是元件定义,即将现成的设计实体定义为本设计的元件。第2部分是元件连接关系映射,即描述各个元件之间的连接关系。...

2019-04-14 20:03:53 12692

原创 硬件描述语言VHDL——并行语句

并行语句是是硬件描述语言的特殊之处,这也是硬件描述语言不可少的一部分。硬件电路例如:总线,它需要多个输入。这个时候,我们必须并发的给出总线上的数据。软件程序设计语言也有并发,但是在性能要求不是苛刻的情形下,无需使用并发。软件的并发由多线程和多进程来实现。在VHDL中,并发语句是同时执行的。它们的书写顺序和执行顺序没有关系。在结构体中的并行语句主要有一下几种: 进程语句; 并行...

2019-04-07 22:37:16 6840

原创 可靠数据传输基本原理

可靠数据传输是指:数据可以通过一条可靠信道来传输。传输的数据不会受到损失或者丢失,而且所有数据都是按照其发送顺序进行交付。我们都知道IP层是不可靠传输的,而TCP是可靠传输的,但是TCP是传输层的协议,这就要求设计一个合理的协议机制,当底层丢失的时候,需要如何处理。下面一步步构造可靠数据传输协议。称之为rdt协议。rdt1.0在rdt1.0中,我们先考虑一个最简单的情况,即底层信道是完...

2019-04-02 23:00:53 6083 1

20211001红色底左右两侧的登录注册页.zip

登录注册页面

2021-10-02

bookmanager.zip

bookmanager.zip

2021-01-25

基于51单片机的电子琴设计.zip

本资源对应于博客“基于51单片机设计的简易电子琴”

2020-07-02

二叉树的操作集合(C++语言)

二叉树的层序建立,先序建立。二叉树的前序遍历,中序遍历,后序遍历,层序遍历,求二叉树的高度,输出二叉树的叶节点。递归版本和非递归版本的实现都有。

2018-10-12

1602使用手册和字符手册

1602的使用手册,包括1602的指令,时序图,以及1602的字符手册。

2018-05-23

单片机开发USB转串口CH340驱动

在win8(win10)上安装该驱动后,可能在设备管理器内找不到端口这个选项,这时候需要手动添加过时硬件。不懂如何操作的可以去看我的博客,有介绍。

2018-05-20

51单片机特殊功能寄存器说明表

51单片机特殊功能寄存器的详细的说明表,列举了常用的所有特殊功能寄存器。

2018-04-25

8051(51)单片机指令表

51单片机指令表,并附上详细的指令解释。这个文档是51微控制器的附录

2018-04-25

C++知识图谱(不包含C++11之后的内容)

这是用XMind写成的C++知识图谱,有C++的详细知识点

2017-07-02

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除