自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 资源 (6)
  • 收藏
  • 关注

转载 自ARMCE,此为ARMCE定制原创稿

转载请注明原帖地址及出自ARMCE,此为ARMCE定制原创稿作者: wwfiney@ARMCE网上不少介绍三星24x0系列的BINFS启动方式实现,有些内容上描述的不是非常全面下面就WinCE6上的BINFS实现,从基本原理到修改BSP,再到如何烧录启动做一个较全面的讲解一 BINFS到底是什么?其实BINFS就是MS给CE做的一种存放系统镜像的一个文件系统。一说文件系统,大家可能比较头大。那么这

2010-01-21 10:11:00 388

原创 MULTI BIN的wince 5.0+smdk2440下的实现(扩大你的内存)

作者:zhengshijie原本早就要发出来了,但是看到king_sundi网友已经发过一个帖子了,所以犹豫了没有发,相对而言,我这个总结更具有实用性,基本上照着这个步骤来就能成功,我给我几个朋友试过了,他们一开始对这个基本不懂都能搞定。XIP实现总结.step1:修改config.bib文件.内核子集XIPKERNEL的SIZE根据你自己编译出来的XIPKERNEL.BIN实际大小调整,

2010-01-21 10:08:00 791

IAR for ARM 6.50.2+破解补丁

IAR for ARM 6.50.2+破解补丁

2013-10-10

ATMEL9260详细开发流程

安装TFTP服务器端和客户端 root@yuanxh-desktop:/# sudo apt-get install tftp tftpd openbsd-inetd C,修改配置文件使用TFTP根目录 root@yuanxh-desktop:/home/yuanxh/at91_works# vi /etc/inetd.conf 在/etc/inetd.conf新行中填入以下内容 tftp dgram udp wait nobody /usr/sbin/tcpd /usr/sbin/in.tftpd /tftpboot D,启动服务 root@yuanxh-desktop:/home/yuanxh/at91_works# /etc/init.d/openbsd-inetd restart E,测试服务 root@yuanxh-desktop:/home/yuanxh# touch /tftpboot/aaa root@yuanxh-desktop:/home/yuanxh# vi /tftpboot/aaa root@yuanxh-desktop:/home/yuanxh# tftp 192.168.1.100 tftp> get aaa tftp> quit root@yuanxh-desktop:/home/yuanxh# cat aaa 2,用命令配置NFS服务 A,安装NFS服务器端 root@yuanxh-desktop:/home/yuanxh# apt-get install nfs-kernel-server nfs-common portmap B,配置访问目录及权限 root@yuanxh-desktop:/home/yuanxh/at91_works# mkdir /nfsboot root@yuanxh-desktop:/home/yuanxh/at91_works# chmod 777 /nfsboot root@yuanxh-desktop:/home/yuanxh/at91_works# touch /nfsboot/yuanxh root@yuanxh-desktop:/# vi /etc/exports 在/etc/exports新行中填入以下内容 /nfsboot 192.168.1.0/24(rw,sync) C,启动服务 root@yuanxh-desktop:/# exportfs -ra root@yuanxh-desktop:/# /etc/init.d/portmap restart root@yuanxh-desktop:/# /etc/init.d/nfs-common restart root@yuanxh-desktop:/# /etc/init.d/nfs-kernel-server restart D,测试服务 root@yuanxh-desktop:/# mount 192.168.1.100:/nfsboot /mnt root@yuanxh-desktop:/home/yuanxh# ll /mnt root@yuanxh-desktop:/home/yuanxh# rm -rf /mnt/yuanxh root@yuanxh-desktop:/home/yuanxh# showmount root@yuanxh-desktop:/home/yuanxh# df root@yuanxh-desktop:/home/yuanxh# umount /mnt root@yuanxh-desktop:/home/yuanxh# ll /nfsboot

2011-11-10

verilog hdl教程135例.rar

】4 位计数器的仿真程序 `timescale 1ns/1ns `include "count4.v" module coun4_tp; reg clk,reset; //测试输入信号定义为reg 型 wire[3:0] out; //测试输出信号定义为wire 型 parameter DELY=100; count4 mycount(out,reset,clk); //调用测试对象 always #(DELY/2) clk = ~clk; //产生时钟波形 initial begin //激励信号定义 clk =0; reset=0; #DELY reset=1; #DELY reset=0; #(DELY*20) $finish; end //定义结果显示格式 initial $monitor($time,,,"clk=%d reset=%d out=%d", clk, reset,out); endmodule

2009-02-05

PCI Express™Specification

PCI Express Base Specification, Revision 1.0

2009-01-06

Router_Tutorial2.pdf

PADS ROUTER,很详细 第一节:PADS Router 操作界面(User Interface) 第二节:设计规则(Assign Constraints) 第三节:设计准备(Preparing a Design) 第四节:元件布局(Placing Components) 第五节:交互布走线(Interactive Routing) 第六节:高速布线(Creating High-speed Traces) 第七节:自动布线(Autorouting) 第八节:设计规则检查(Checking Design Rule Violation)

2009-01-06

verilog 教程

The signi cant feature of the following example is that for some combinations<br>of the inputs (viz. when clk is false) the value of the output q is not driven.

2007-09-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除