精品代码模块
请叫我小怪物
努力用不为过,一只努力的汪
展开
-
常用波特率计数查找表
通过查找表对比特率选择比特率是指每秒传送的比特(bit)数。单位为 bps(Bit Per Second),比特率越高,传送数据速度越快.但是通过串口通信使用波特率,必须保证发端和收端波特率保持一致,不至于丢失数据,导致数据错误 //关键代码//建立查找表--比特率选择 reg [15:0]bps_max;//分频计数最大值 always @(posedge clk or nege原创 2016-08-09 22:42:57 · 4060 阅读 · 0 评论 -
Verilog的6位,8位数码管译码
module seg_7( input clk, input rst_n, input [23:0]data_in, output reg [2:0]sel, output reg [7:0]seg );原创 2016-08-09 23:09:48 · 9356 阅读 · 0 评论 -
异步时钟脉冲同步器的设计
异步时钟脉冲同步的实现设计代码:module edge_detect( input sclk_1,//100M input sclk_2,//50M input p_in, output p_out )原创 2016-08-13 10:33:12 · 4818 阅读 · 9 评论 -
CRC _Generator _32_8
记录一下crc32_8的代码 数据为8位 CRC校验位:32位推算流程: 推导过程比较复杂,这里贴一下data第7位数据校验后的crc_check 化简后的 代码实现//--------------------crc_check--------------------------------- always @(posedge clk or negedge rst_n) i原创 2016-09-05 19:57:23 · 1408 阅读 · 2 评论