自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 资源 (12)
  • 收藏
  • 关注

翻译 TeraTerm Language 帮助文档2-[数据类型]

TTL语言(TeraTerm Language)总共只有四种数据类型,分别为Integer、Character sting、Integer Array、String Array。Integer:带符号的32bit数据,不支持浮点数运算。Character String:一串不包含NULL的字符序列(最长511个字符)Integer Array整形数组,最大index(索引)

2017-12-22 00:18:52 767

翻译 TeraTerm Language 帮助文档1-[如何运行]

TeraTerm Language Help文档中文翻译

2017-12-21 22:23:10 3317

原创 Zedboard自定义AXI总线IP详解(多图)

Zedboard上Axi总线的IP核挂载实验(个人观点,多谢指正)

2013-12-17 20:42:06 4896

原创 Zedboard之Hello World(ISE14.6)

本次实验旨在跑一下《软硬件协同设计》书里的Demo程序,熟悉一下开发流程目的如下:1、通过USART和PC端通信,显示“Hello World”                2、PC端发送Hex,相应的LED亮起(这里有MIO和EMIO各4个)平台如下: Zedboard板子一枚、ISE 14.6河蟹版软件一套据说Xilinx准备在8系列中,全面支持下一代开发工具Vivado,也

2013-12-15 21:00:58 2156

原创 ZedBoard板终于到了。。。。

苦等一星期,终于从e-element购买了Zedboard,顺丰快递,板子好像是从上海Digilent寄过来的,花了3、4天的样子到广州。说多无谓,等会上个高清无码图。

2013-12-15 19:39:13 1956 1

原创 Zedboard交叉编译环境建立------(注意:无高清无码果照)

完成Ubuntu上Xilinx Tools工具的安装以及FTP文件传输。

2013-12-15 19:17:57 2396

转载 状态机思路在单片机程序设计中的应用

状态机的概念状态机是软件编程中的一个重要概念。比这个概念更重要的是对它的灵活应用。在一个思路清晰而且高效的程序中,必然有状态机的身影浮现。比如说一个按键命令解析程序,就可以被看做状态机:本来在A状态下,触发一个按键后切换到了B状态;再触发另一个键后切换到C状态,或者返回到A状态。这就是最简单的按键状态机例子。实际的按键解析程序会比这更复杂些,但这不影响我们对状态机的认识。进一步

2013-10-24 11:13:28 1056

转载 uC/OS事件标志组(event flag

uC/OS事件标志组(event flag)作者:jk.li (jk.li@foxmail.com)  引入事件标志组的目的是为了,在一个任务和多个任务之间进行同步,例如有一做饭任务,需要打水任务和买米任务的支持,只有打水任务和买米任务都完成后,做饭任务在开始执行。  等待类型一共有4中分别是:  OS_FLAG_WAIT_SET_ALL  OS_FLAG_

2013-08-02 09:31:49 1237

转载 RS232---DTE和DCE区别

What is the difference between an RS232 DTE and DCE port?How can I tell what kind of port my serial device has?What are examples of equipment that has a DTE port?What are examples of equipment t

2013-05-12 19:02:01 3637

转载 基于ISE的仿真(波形图法&V文件法)

在代码编写完毕后,需要借助于测试平台来验证所设计的模块是否满足要求。ISE提供了两种测试平台的建立方法,一种是使用HDL Bencher的图形化波形编辑功能编写,另一种就是利用HDL语言。由于后者使用简单、功能强大,所以本节主要介绍基于Verolog语言的测试平台建立方法。 1.测试波形法 在ISE中创建testbench波形,可通过HDL Benche

2013-03-29 20:34:08 14824

转载 关于modelsim的波形文件

波形文件的三个术语:VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件,WLF(Wave Log File). 对于WLF波形日志文件,只要我们使用过modelsim,应该都很熟。但我们在波形窗口观察波形时,仿真结束时都会生成一个*.wlf的文件(默认是vsim.wlf)。我们下次就可以通过通过modelsim直接打开这个保存下来的波

2013-03-12 14:52:26 3121

转载 Understanding the Global Signals for Simulation

Understanding the Global Signals for Simulation   |字号 订阅Xilinx FPGAs have register (flip-flops and latches) set/reset circuitry that pulses at the end of the configuration mode.

2013-03-05 21:02:40 1092

转载 ROM 、RAMS、RAM/DRAM和 FLASH 的区别

ROM 、RAMS、RAM/DRAM和 FLASH 的区别  2009-09-27 10:02:20|  分类: 乱七八糟|字号 订阅ROM和RAM指的都是半导体存储器,ROM是Read Only Memory的缩写,RAM是Random Access Memory的缩写。ROM在系统停止供电的时候仍然可以保持数据,而RAM通常都是在掉电之后就丢失数据,典型

2013-03-05 20:58:43 1022

转载 同步复位和异步复位的比较(转载)

无论同步还是异步复位,在对触发器时序进行分析的时候,都要考虑复位端与时钟的相位关系。对于同步复位,复位信号可以理解为一个普通的数据信号,它只有在时钟的跳变沿才会其作用,一般只要复位信号持续时间大于一个时钟周期,就可以保证正确复位。对于异步复位,复位可以在任何时候发生,表面上看跟时钟没有关系,但真实情况是异步复位也需考虑时钟跳变沿,因为时钟沿变化和异步复位都可以引起Q端数据变化,如果

2013-03-05 20:56:26 1241

转载 建立时间和保持时间关系详解

009-09-24 11:12:23|  分类: verilog|字号 订阅图1    建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;    保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间,如果保持时间

2013-03-05 20:55:44 4510

转载 verilog设计经验总结(转载)

组合逻辑1,敏感变量的描述完备性Verilog中,用always块设计组合逻辑电路时,在赋值表达式右端参与赋值的所有信号都必须在always @(敏感电平列表)中列出,always中if语句的判断表达式必须在敏感电平列表中列出。如果在赋值表达式右端引用了敏感电平列表中没有列出的信号,在综合时将会为没有列出的信号隐含地产生一个透明锁存器。这是因为该信号的变化不会立刻引起所赋值的变化,而必须等

2013-03-05 20:54:50 1118

转载 数据队列 Queue 的使用 (转)

数据队列数据队列一般用于数据缓存,可以用来平衡速率不同的两个部件,使快速部件无需等待慢速部件。数据队列一般是先入先出的,但本数据队列可以配置成后入先出。本数据队列是可配置可裁剪的模块,并且不依赖于操作系统,可以在前后台系统中使用。数据队列使用的空间由用户分配且由这个空间的地址唯一识别一个数据队列。API函数数据队列软件模块包括的API函数如下所示:API函数名

2012-07-30 09:57:52 1275

转载 LDO与 DC-DC的区别

应当可以这样理解:DC-DC的意思是直流变(到)直流(不同直流电源值的转换),只要符合这个定义都可以叫DC-DC转换器,包括LDO。但是一般的说法是把直流变(到)直流由开关方式实现的器件叫DC-DC。  1 什么是LDO  LDO是低压降的意思,这有一段说明:低压降(LDO)线性稳压器的成本低,噪音低,静态电流小,这些是它的突出优点。它需要的外接元件也很少,通常只需要一两个旁路电容

2011-11-27 12:59:38 712

转载 图解电源工作原理

同学们今天我们来学习一堂关于电源工作原理的课。因为是针对新手的知识普及课,所以在下会用朴实的语言尽量的把这堂课讲得通俗、简单、易懂一些。如果有专业大师级别的看客路过的话,还望多多交流。我们先来讲讲什么是电源?顾名思义,就是提供电能的装置。而我们所说的直流电源,主要是指线性电源和开关电源两种类型的电源。                             电源的分类

2011-11-27 12:43:10 994

转载 EMI滤波电路

EMI滤波器主要作用是滤除外界电网的高频脉冲对电源的干扰,同时也起到减少开关电源本身对外界的电磁干扰。实际上它是利电感和电容的特性,使频率为50Hz左右的交流电可以顺利通过滤波器,但高于50Hz以上的高频干扰杂波被滤波器滤除,所以它又有另外一种名称,将EMI滤波器称为低通滤波器(彩电上的称法),其意义为,低频可以通过,而高频则被滤除。下面是EMI滤波电路的线路图:上图中的C

2011-11-27 12:42:11 6860

转载 TI公司LDO电源芯片的选用

TPS73HD3xx:TPS767D3xx:二者均为线性调整电源,LDO这两组芯片的引脚看起来是一样的,都是一路3.3V,另一路为1.8V,2.5V或可调。经比较未发现有大的不同,后者的输出电流稍大一些,在引脚上,后者没有2SENSE引脚。特点:低压差,低静态功耗,关断工作模式,电源监测复位输出等功能。在DSK5402中应用了TPS767D301,可参考其电路。TPS7

2011-11-27 12:38:04 5404

原创 普林斯顿体系架构和哈佛架构

目前接触到的单片机架构就这两种:普林斯顿体系和哈佛结构:两者的主要区别是:code memory和date memory是不是分开存放。普林斯顿体系是程序存储器和数据存储器集合一体的架构;MEMORY单总线到CPU,这样在一个工作周期中:读指令---译码----取数据过程中,读指令和取数据两次访问不得不分开按次序执行,效率低;特别是这样的设计使得CPU在访存时遇到了很大的瓶颈,特别是现在C

2011-09-25 15:09:09 2232

转载 uC/OS II学习时的一个重要函数 OSInit( );

<br /><br />在uC/OS II的学习中,OSInit( );是一个重要的函数,它在OS应用中的void main();函数中首先被调用,是OS运行的第一个函数,如下程序,它完成各初始变量的初始化,建立任务空闲键表OSTCBFreeList, 建立事件空闲键表OSEventFreeList.创建一个空闲任务OS_TaskIdle,以及初始化其它如果有用到的功能 Q,MEM等。我个人的理解也是从这里开始的。通过空闲任务的创建基本上涉及到OS中任务块和事件块的管理。我想这也是理解了OS在内

2011-04-03 13:18:00 651

转载 【转】STM32中断优先级相关概念

一、基本概念1.ARM cortex_m3内核支持256个中断(16个内核+240外部)和可编程256级中断优先级的设置,与其相关的中断控制和中断优先级控制寄存器(NVIC、SYSTICK等)也都属于cortex_m3内核的部分。STM32采用了cortex_m3内核,所以这部分仍旧保留使用,但STM32并没有使用cortex_m3内核全部的东西(如内存保护单元MPU等),因此它的NVIC是c

2011-03-17 18:13:00 1336

转载 STM32与LPC系列ARM资源之比较

由于有周立公开发板的影响,LPC系列的开发板在工程师心目中一般是入门的最好型号之一。这次刚好有STM32的竞赛,正好将两者的资源进行比较一下(LPC系列以LPC213X为例)。  LPC213X包括LPC2131、2132、2138等, 是基于一个支持实时仿真和跟踪的16/32 位ARM7TDMI-STM CPU,并带有32kB、64kB 和512kB 嵌入的高速Flash 存储器。128 位

2011-03-17 15:29:00 4122

转载 电平转换 74HC & 74LS

(TTL电路与CMOS电路的接口) 电路设计时,你可以不懂集成电路的内部结构,但是初学者必须掌握电平转换设计理论及其基本方法,否则,你的电路将“罢工”。以下主要是参考阎石教授主编的《数字电子技术基础》编辑的,最后一部分我写了一点实际工作中遇到的电平匹配案例。希望此文对初学者有所帮助。无论是TTL电路驱动CMOS电路还是CMOS电路驱动TTL电路,驱动门必须为负载门提供合乎标准的高、低电

2011-03-14 23:09:00 2819

原创 S12学习笔记

把学习笔记整理一下,懒得忘了。看了很多网上的资料,但是终觉得记不住,水过鸭背,糊里糊涂,决定尝试把它从自己嘴里吐出来。另外由于暂时缺乏硬件,只能停留在知识点层面,应用程序以后再说了。P.S.(任何资料都是google所得,如有雷同,纯粹抄袭)PIT (Periodic Inerrupt Timer):如图,实际上就是一个8位计数器产生一个时钟信号,提供给下一个16位计数器计数,当溢出后就产生中断。公式:time-out period=(PITMTLD+1)*(PITLD+1)/fBUS各个寄存器中,PITM

2011-02-09 18:12:00 3444 6

python自我练习题目

自我检查的python练习题

2020-02-13

IIC状态机的硬件描述语言

IIC状态机的硬件描述语言。 您上传的资源如果因版权、使用、内容完整度 等原因被举报并通过官方审核,将扣除通过该资源获得的全部积分

2018-06-04

高速背板设计

高速连接器信号完整性研究、高速无源电背板的串扰研究、高速率背板连接器的信号完整性分析等背板设计相关论文

2018-03-14

Zedboard的USB转串口驱动

Zedboard上的USB转串口驱动程序,当然也可以自己上官网下

2013-12-15

给PSOC1初学者10个实例(工程文件)

给PSOC1初学者10个实例(工程文件),连同之前发布的pdf文档一起使用

2010-08-07

全新51单片机入门教程

最全面的51单片机教程 最全面的51单片机教程 最全面的51单片机教程 最全面的51单片机教程 最全面的51单片机教程 最全面的51单片机教程

2010-02-06

判定反馈式正弦波振荡电路能否振荡的简便方法

判定反馈式正弦波振荡电路能否振荡的简便方法 判定反馈式正弦波振荡电路能否振荡的简便方法 判定反馈式正弦波振荡电路能否振荡的简便方法 判定反馈式正弦波振荡电路能否振荡的简便方法 判定反馈式正弦波振荡电路能否振荡的简便方法

2010-01-09

Delphi 7 经典入门80例程 B版

续传: Delphi 7 经典入门80例程 B版 Delphi 7 经典入门80例程 B版 Delphi 7 经典入门80例程 B版 Delphi 7 经典入门80例程 B版

2010-01-09

Delphi 7 经典入门80例程

Delphi 7 经典入门80例程 不用再到其他网站上被骗 百分百可以下载 Delphi 7 经典入门80例程

2010-01-09

atmega16 最小系统 PCB SCH原理图

atmega16 最小系统 PCB SCH原理图

2009-10-24

12864点 线 坐标 的刻画

12864 波形描画以及点线面的 程序 /********插值法画任意两点之间直线的函数,函数中只用加减法,程序运行效率很高**********/ *在LCD上任意坐标画点 //在一系列零散的点上,两点两点之间连线,从而得到一条曲线

2009-09-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除