Systemverilog中使用$fsdbDumpfile

1. Testbench里面加入:

initial begin
	$fsdbDumpfile("hello.fsdb");
	$fsdbDumpvars("+all");
end

其中如果不用+all的参数,SV中的struct结构体无法被Dump出来。

关于$fsdbDumpvars()以及其他相关FSDB Dumping Commands的参数的细节请参考Verdi安装目录的文档:linking_dumping.pdf

 

2. 保证环境变量设置正确:

NOVAS_HOME <novas_path>

 

3. VCS仿真命令:

Makefile添加:

SNPS_VCS_OPT+= -debug_access+cbk

或者命令行:

 

% vcs -debug_access+cbk <file_name>

这个参数的作用是让VCS自动设置novas.tab和pli.a两个文件,避免了写很长一串,简单方便。

 

4. 查看波形:

verdi -sv -f run.f -ssf ./work/hello.fsdb &

 

nWave ./work/hello.fsdb &


实验环境:

vcs-2014.03

Verdi_vK-2015.09-SP1-1



 

  • 6
    点赞
  • 56
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值