ERROR: No extended dataflow license exists

    如题所示,在进行FPGA仿真时,用MODELSIM 来仿真,有可能会遇见这样的问题:# ERROR: No extended dataflow license exists 。特地声明,这不是没有破解的原因,如果没有破解,则这个软件是不能用的,也就不会出现这样的错误。错误分析如下:

    其实吧,这是个很简单的失误有没有?注意错误提示,“No extended dataflow",就是外部输入的数据流!看下你的模块名和所编写V文件的名字是否一致,还有就是仿真的时候调用TESTBENCH测试代码时的名字可否写错了。把这些小问题注意了,应该就OK了。

    不管怎样,不能进入仿真时,很大可能性就是测试代码编写有错误,逻辑、名称等等,静下来好好检查一下,一般都能解决!

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值