quartus与modelsim联合仿真时出现错误# Error: Error loading design #Pausing macro execution,且无波形,如何解决

quartus与modelsim联合仿真时出现错误# Error: Error loading design #Pausing macro execution,且无波形

最近在学FPGA的过程之中,经常会出现代码分析和综合没有错误,但是在利用tb文件仿真的时候却没有波形界面打开。报错原因# Error loading design # Error: Error loading design Pausing macro execution # MACRO ./uart_rx_run_msim_rtl_verilog.do PAUSED at line 12
还有 ERROR: No extended dataflow license exists 如下图所示:
在这里插入图片描述
在网上看了好多资料,发现既不是软件没有破解,也不是因为test bench的名字设置的与.vht文件的顶层实体名字不匹配的原因。找了好久都没有发现有用的信息。于是跟着小梅哥视频从头到尾人认真的看了一遍,发现:

原因是我在tb文件里例化了两个模块,但是有一个模块并不包含在我们的工程之中,在仿真的时候我们必须这跟模块也添加到testbatch文件中来!!!!

具体操作流程如下:
在这里插入图片描述
希望对大家有所帮助!!!!

  • 14
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

爱吐槽的胡椒君

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值