verilog 产生m序列

本文介绍了如何使用Verilog设计M序列生成器,重点强调M序列的特性,包括其周期、本原多项式条件。通过给出4级寄存器的例子,展示了反馈逻辑a4 = a1 + a0 (mod 2),并提供了Verilog代码示例。同时,使用Matlab进行数据对比,并展示modelsim采样得到的数据频谱图,强调在对比时要注意Matlab生成M序列的初始状态。
摘要由CSDN通过智能技术生成

m序列是最常用的伪随机序列,是最长线性反馈移位寄存器序列的简称。如果是4级的寄存器(下面都假设n=4),那么最长周期是2^4-1=15。

m序列的特征多项式必须是4次的本原多项式。必须满足条件:

1、既约的;

2、可整除x^15+1,这里15=2^4-1;

3、不可整除x^q+1,q<15。

若已知4次的本原多项式为x^4&#

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值