m序列(伪随机序列)发生器Verilog代码Quartus仿真

本文详细介绍了使用Verilog语言设计并实现了一个m序列发生器,基于线性反馈移位寄存器,以及如何在Quartus中进行编译和仿真,提供了关键代码片段和仿真结果。
摘要由CSDN通过智能技术生成

名称:m序列(伪随机序列)发生器Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

m序列(伪随机序列)发生器

线性反馈移位寄存器设计(m序列)

本源多项式为x5+x2+1

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 仿真图

部分代码展示:

//m序列
module m_code(
    input clk,//时钟
    input rst,//复位
output reg seq_p,//伪码周期脉冲信号
    output reg seq_o//伪码序列
    );
reg [5:0] ddout=6'b000001;
always@(posedge clk)
   if(rst)begin
      ddout <= 6'b000001;//复位时,初值为00001
  end 
   else begin
     ddout[0] <=ddout[1];//移位
     ddout[1] <=ddout[2];//移位
     ddout[2] <=ddout[3];//移位
     ddout[3] <=ddout[4];//移位
     ddout[4] <=ddout[3]^ddout[0];//本源多项式为x5+x2+1
     seq_o<=ddout[0];
end 
reg [4:0] cnt=5'd1;
always@(posedge clk)
   if(rst)
      cnt<= 5'b1;//复位
   else
if(cnt==5'd31)
cnt<= 5'b1;
else
cnt<=cnt+5'b1;//计数1~31
源代码

 扫描文章末尾的公众号二维码

  • 10
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值