自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(495)
  • 收藏
  • 关注

原创 十字路口交通灯设计Verilog代码VIVADO仿真

十字路口交通灯基本要求:基本交通模型十字路口,4组路灯,联动控制。通过led显示交通控制,常亮为通行,闪烁为缓行,灭灯为禁行。整个设计通过状态机控制。测试仿真:testbench包含1个完整交通控制周期过程。提示:设计定时状态机控制;

2024-06-24 20:20:06 757

原创 抢答器设计Verilog代码VIVADO仿真

设计要求:三人参賽每人一个按钮,主持人一个按钮,按下就开始;每人一个发光二极管,抢中者灯亮;有人抢答时,显示器显示其序号两秒;答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,10、9、8..1、0;倒计时到0的时候,然后显示四个0两秒

2024-06-24 20:14:43 700

原创 交通灯设计Verilog代码VIVADO PYNQ-Z2开发板

交通灯设计(最后3秒闪烁)交通灯分为主路和支路,两条路均为:红灯33秒,绿灯30秒,黄灯3秒。主路为红灯时支路为绿灯和黄灯,支路为红灯时主路为绿灯和黄灯。且红灯最后3秒会闪烁,绿灯最后3秒也会闪烁,黄灯不闪烁

2024-06-24 19:59:57 607

原创 脉冲宽度测量电路设计Verilog代码VIVADO仿真

(1)采用系统的1M输入时钟作为测量基准;(2)输入高电平脉冲,异步于时钟;(3)数码管显示当前脉冲的持续时间,可复位为0(4)记忆至少3个测量值,靠按键回显;(5)使用实验室的开发箱或自备开发板完成设计;(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、时序仿真、下载验证等。

2024-06-24 19:52:24 343

原创 流水灯控制设计Verilog代码VIVADO仿真

脉冲信号周期和脉冲宽度测量要求测量出信号的周期和脉冲宽度,测量范围50us-50ms,误差小于1%。

2024-06-24 19:17:07 213

原创 流水灯控制设计Verilog代码VIVADO仿真

设计能让一排灯(8只)自动改变显示花样的控制系统。可将实验板上的一排发光二极管作为彩灯用。控制器应有两种控制方式 ◆规则变化。变化节拍有0.5秒和0.25秒两种,交替出现,每种节拍可有8种花样,各执行或二个周期后轮换彩灯变化方向有单向移动,双向移动,跳跃移动等。◆随机变化。变化花样相同,但节拍及花样的转换都随机出现

2024-06-24 18:51:38 424

原创 任意位宽Booth乘法器设计Verilog代码VIVADO仿真

任意位宽Booth乘法器设计乘数和被乘数均为n位(n的值在代码中使用参数配置),那么Booth算法的具体执行过程以下六个步骤:(1)设置一个2n+1位的p空间,并将初始化为0;(2)将乘数填入p[n:1]中;(3)从p空间的最低位依次开始向左扫描,每次扫描两位,并判断所扫描的两位二进制数为何种情况;(4)判断p[2n]位,如果是逻辑0右移一位补0,如果是逻辑1就右移一位补1;(5)重复步骤(3) ,循环n次;(6)最终p

2024-06-24 18:13:32 501

原创 短跑计时器设计与实现Verilog代码VIVADO仿真

短跑计时器设计与实现短跑计时器描述如下短跑计时器显示分、秒、毫秒“毫秒”用两位数码管显示:百位、十位;“秒”用两位数码管显示:十位、个位“分”用一位LED灯显示,LED灯“亮”为1分;最大计时为1分59秒99,超限值时应可视或可闻报警

2024-06-24 17:59:58 386

原创 方波发生器设计Verilog代码VIVADO仿真

基本要求:设计一个方波发生器,通过一个4bit输入端口time设定波形的时间倍数,基础最小间隔粒度为t0=0.2毫秒/bit位,若time=0x4,则每bit位时间就是0.2*4-0.8毫秒。?测试仿真:testbench包含time=0x1,0x2,0x4,0x8,这4段波形依次输出。提示:基于计数器定时设计,一个GPIO显示输出波形。

2024-06-24 16:05:21 170

原创 交通灯控制电路设计Verilog代码VIVADO仿真

1.只要边道没车,主干道绿灯一直亮,边道有车,主干道绿灯最少保持25S。2.边道有车通过时边道绿灯最长保持25S,边道没车时则切换到下一个状态。3.主干道绿灯亮和边道绿灯亮之间切换需过渡状态,时间4S,保持黄灯亮。

2024-06-24 11:21:08 330

原创 计时器日历及时间设计Verilog代码VIVADO仿真

计时器日历及时间基本要求:计时显示日历,年/月/日/时/分/秒/百分秒。提示:计时器计算设计,年/月/日的日历查找表。

2024-06-20 19:43:34 704

原创 看门狗定时器设计Verilog代码VIVADO仿真

1、看门狗触发时间可配置2、通过2个开关控制,输入配置:00-0.2s;01-0.8s;10-1.6s;11-禁用

2024-06-20 19:35:51 447

原创 5种花样模式流水灯设计Verilog代码VIVADO仿真

本设计使用的流水灯为16个灯,分为5种变化花样,每种自动切换,切换到第5种模式后返回第一种。实验原理为使用状态机控制led的模式切换,一个设计了6种状态,分别是空闲状态、模式1、模式2、模式3、模式4、模式5,每个模式持续16个时钟周期。系统初始状态为空闲状态,然后切换到模式1状态,当模式1状态时钟周期到16时,切换到模式2状态,当模式2状态时钟周期到16时切换到模式3状态,如此循环往复。当处于模式1状态时,将led灯依次向右点亮,16个周期刚好全部点亮一遍,当处于模式2时,将led灯依次向左点亮,16

2024-06-20 19:24:04 690

原创 洗衣机控制器设计Verilog代码VIVADO仿真

1.循环输出正转、反转、暂停信号。2.可以预置洗衣时间。3.可以显示定时时间。4.可操作性、可靠性要好。5.实现定时启动→正转20s→暂停10s→反转20s→暂停10s→如果时间未到,则回到“正转20s→暂停10s→……”,定时到则停止。

2024-06-20 19:09:04 297

原创 单路口交通灯设计Verilog代码VIVADO仿真

1、设计单路口交通灯2、交通灯包含3个led灯,其中红灯60s,绿灯60s,黄灯5s3、数码管显示倒计时

2024-06-20 19:00:29 170

原创 交通灯控制器设计Verilog代码VIVADO仿真

要求实现功能如下:①假设一个十字路口南北方向和东西方向的交通灯按照红灯x秒、绿灯x秒、黄灯y秒轮流点亮;②红灯、黄灯、绿灯的亮灭时间诵过7段数码管正确显示。

2024-06-20 15:16:43 365

原创 交通灯控制器设计Verilog代码VIVADO仿真

1、实现一个十字路口交通灯,每条路有红绿黄三色信号灯2、使用数码管显示倒计时3、可通过代码修改通行时间

2024-06-20 14:48:41 362

原创 交通信号灯控制器设计Verilog代码VIVADO仿真

1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用传感器或逻辑开关作检测车辆是否到来的信号2、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯;3、主、支干道均有车时,两者交替允许通行,主干道每次放行时间A,支干道每次放行时间B,设立A、B计时显示电路;在每次由绿灯亮到红灯亮的转换过程中,要亮黄灯C时间作

2024-06-20 14:41:49 943

原创 交通灯控制电路设计Verilog代码VIVADO仿真

1.只要边道没车,主干道绿灯一直亮,边道有车,主干道绿灯最少保持25S。2.边道有车通过时边道绿灯最长保持25S,边道没车时则切换到下一个状态。3.主干道绿灯亮和边道绿灯亮之间切换需过渡状态,时间4S,保持黄灯亮。

2024-06-20 14:33:25 227

原创 交通信号灯控制系统设计Verilog代码VIVADO仿真

设计一个十字路口交通灯控制电路,要求(1)主干道、支干道交替通行;(2)主干道放行时间较长(设为48s),支干道放行时间较短(设为32s),倒计时显示(3)每次绿灯最后闪亮4s,接着黄灯闪亮4s,然后变红灯

2024-06-20 13:26:38 550

原创 饮料自动售货机设计Verilog代码VIVADO仿真

你将参与为部门休息室设计一个苏打汽水自动售货机。IEEE的学生会将给予不菲的奖学金,价格仅为25美分。机器接收5美分、一角硬币和二角五分硬币。当投入足够的硬币,苏打汽水自动售货机就会分配汽水和找零钱。为这个苏打汽水自动售货机设计一个有限状态机控制器。有限状态机的输入是Nickel(5美分)、Dime(一角)和Quarter(两角五分),表示硬币已经投入机器。假设在一个周期投一个硬币。输出是Dispense ReturnNickel、ReturnDime、ReturnTwoDime。当有限状态机到达25美分时

2024-06-18 18:24:27 415

原创 卡式电话计费器设计Verilog代码VIVADO仿真

该计费器在卡插入后,能读出卡中的余额并显示20;在通话中,根据通话种类进行扣费,卡内余额每分钟更新一次;对通话时间计时并显示出来。话务种类分为三种:市话、长途和特话。其中市话按每分钟3角钱计算,长话按每分钟6角钱计算,特话免费,当卡中余额少于当前话务种类对应的一分钟费用,产生告警信号,警告灯亮,当告警时间达到15s时切断当前通话。设定卡内余额最大为20角。

2024-06-18 18:11:03 450

原创 序列发生器及序列检测器设计Verilog代码VIVADO仿真

设计一个具有2个输入(A和B)、1个输出(Z)的时钟同步状态机,Z为1的条件为(1)在前两个脉冲触发沿上,A的值相同;或者 (2)从上一次第1个条件为真起,B在前两个脉冲触发沿上的值也相同。

2024-06-18 18:04:27 260

原创 SRAM的设计Verilog代码VIVADO仿真

SRAM的设计256×32的SRAM的设计,位宽32bit,深度256

2024-06-18 17:55:16 393

原创 七段数码管控制器设计Verilog代码VIVADO仿真

七段数码管控制器(1)公共部分: 在八个七段数码管上显示相同的数字,11111111。(2) 设计计数器,并以10进制BCD码的形式显示在七段数码管上,计数频率5Hz, 计数范围002-254;( 3) 附加功能,计数满254后亮一次led_507表示进位,显示相同的数字按下BTN1按键显示数据加1

2024-06-18 17:48:31 670

原创 时钟同步状态机设计Verilog代码VIVADO仿真

时钟同步状态机设计设计一个序列发生器产生学号后两位数值对应的8421BCD码,再设计一个对应学号后两位数值8421BCD码的序列检测器要求仿真实现:将设计的序列发生器输出作为序列检测器输入。当检测到对图。应学号的数值时,输出为“1”,否这输出为“0”。序列检测器输入始终为“1”,测出此时检测器的输出

2024-06-18 17:42:13 419

原创 CIC和FIR滤波器设计Verilog代码VIVADO仿真

数据从端口进入后,使用150MHz的时钟再打一拍(采样一次),然后经过第一个CIC滤波器,将速率降为10MHz,然后再经过一级CIC滤波器,将速率降为2MHz,最后再经过一个FIR滤波器,将速率降为1MHz。FIR滤波器截止频率设置为25KHz。

2024-06-18 17:34:42 612

原创 数字信号处理及FIR滤波设计Verilog代码VIVADO仿真

数字信号处理及FIR滤波首先通过DDS产生50K的sin信号,再将sin 50K信号乘以输入的(30~70K)信号下变频到(-20K~20K),再通过截止频率为20KHz的Fir低通滤波器滤波,得到滤波后的波形。若输入的信号频率为20KHz,40KHz,100KHz的混合信号。20K与50K混频后得到30K和70KHz;40K与50K混频后得到10K和90KHz;100K与50K混频后得到50K和150KHz;混频后信号经过截止频率为20KHz的Fir低通滤波器,可以得到40K的混频信号10K,其他

2024-06-18 13:01:56 749

原创 DDS波形发生器设计Verilog代码VIVADO仿真

DDS波形发生器1、可以生成方波、三角波、正弦波、锯齿波、阶梯波2、可以选择输出波形的类型3、可以控制波形的频率和幅值

2024-06-18 11:51:28 828

原创 自动售货机设计Verilog代码VIVADO仿真

一共有2元、3元、4元、6元4种商品,可以投币1元和5元。支持找零和取消购买若投币金额大于价格则找零,若投币不足或且10s内没有投币则自动取消。

2024-06-18 11:36:27 755

原创 基于FPGA的角谷猜想的实现设计Verilog代码VIVADO仿真

角谷猜想的实现:如果n为奇数,则3n+1如果n的偶数,则n/2最后n=1

2024-06-14 21:05:59 238

原创 基于FPGA的角谷猜想的实现设计Verilog代码VIVADO仿真

角谷猜想的实现:如果n为奇数,则3n+1如果n的偶数,则n/2最后n=1

2024-06-14 20:58:27 323

原创 基于FPGA的量程自动转换数字式频率计的设计Verilog代码VIVADO仿真

一、设计的主要技术参数1.频率计的测量范围为1KHZ,量程分10KHZ、100KHZ和1000KHZ三档(最大读数分别为9.99KHZ、99.9KHZ、999KHZ)。2.要求量程可根据被测量的大小自动转换。即当计数器溢出时,产生一个换档信号,让整个计数时间减少为原来的1/10,从而实现换档功能。3.要求实现溢出报警功能。即当频率高于999KHZ时,产生一报警信号,点亮LED灯,从而实现溢出报警功能。

2024-06-14 20:50:31 982

原创 基于FPGA的简易等精度频率计设计Verilog代码VIVADO仿真

简易等精度频率计设计

2024-06-14 20:34:38 275

原创 基于FPGA的FIR滤波器设计设计VHDL代码VIVADO仿真

信号输入:正弦信号1、2的混频:信号1频率:4MHz,信号2频率:3MHz;采样频率:100MHz,采样点数:4096。fdatool设计低通滤波器(等波纹法设计,最小阶),生成coe文件,vivado导入coe文件,完成FIR ip核的设计,顶层和tb文件的编写,引入信号,实现滤波。

2024-06-14 20:26:07 329

原创 基于FPGA的8阶线性相位结构FIR设计Verilog代码VIVADO仿真

8阶线性相位结构FIR滤波器系数设计:打开Matlab软件在指令窗口中键入:m=fir1(7,0.2),即可得到如下的系数:0.009、0.048、0.164、0.279、0.279、0.164、0.048、0.009

2024-06-14 20:17:30 409

原创 基于FPGA的8阶线性相位结构FIR设计Verilog代码VIVADO仿真

8阶线性相位结构FIR设计截止频率10K的低通滤波器,时钟为100KHz,100K*0.1=10Km=fir1(7,0.2),fir1为matlab中滤波器设计函数,7表示滤波器阶数为7,0.2表示截止频率为100K*0.1=10K滤波器系数设计:打开Matlab软件在指令窗口中键入:m=fir1(7,0.2),即可得到如下的系数:0.009、0.048、0.164、0.279、0.279、0.164、0.048、0.009

2024-06-14 16:17:58 264

原创 基于FPGA的调用IP核实现128和512点FFT设计Verilog代码VIVADO仿真

调用IP核实现128和512点FFT

2024-06-14 16:11:07 410

原创 基于FPGA的调用IP核实现8192点FFT设计Verilog代码VIVADO仿真

调用IP核实现8192点FFT

2024-06-14 15:58:13 251

原创 基于FPGA的脉冲边沿检测设计Verilog代码VIVADO仿真

脉冲边沿检测1、检测脉冲上升沿2、检测脉冲下降沿

2024-06-14 15:34:33 199

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除