再学计数器的设计

/*+FHDR--------------------------------------------------------
  file name: counter4.v
  Author:    Clarke.Lee
  E-mail:    clarke.lee@163.com
  --------------------------------------
  Keywords:  Counter
//-FHDR---------------------------------------------------------*/ 

module counter4(clk,
                reset,
                cout,
                out);
            
input  clk;
input  reset;
output cout;
output [1:0] out;

reg cout;
reg [1:0] out;

reg [1:0] counter;

always@(posedge clk)
    if(!reset)
        begin
        out <= 0;
        counter <= 0;
        end
    else if(counter<4)
        begin
        cout <= 0;       
        counter <= (counter + 1);
        out <= counter;
        end
    else
        begin
        out <= 0;
        counter <= 0;
        cout <= 1;
        end       
endmodule

如此设计的状态机仿真后没有cout送出,原因是两位的counter是不能表示4的,所以这个状态机变成了“无限状态机”。

有限状态机是由寄存器组和组合逻辑构成的硬件时序电路,其状态(即由寄存器组的10的组合状态所构成的有限个状态)只可能在同一时钟跳变沿的情况下才能从一个状态转向另一个状态,究竟转向哪一状态还是留在原状态不但取决于各个输入值,还取决于当前所在状态。

究竟应该怎么设计模4的状态机呢?

改进了一下:

/*+FHDR--------------------------------------------------------
  file name: counter4.v
  Author:    Clarke.Lee
  E-mail:    clarke.lee@163.com
  --------------------------------------
  Keywords:  Counter
//-FHDR---------------------------------------------------------*/ 

module counter4(clk,
                reset,
                cout,
                out);
            
input  clk;
input  reset;
output cout;
output [1:0] out;

wire cout;
wire [1:0] out;

reg [1:0] counter;

assign cout = ~(out[1]|out[0]);
assign out  = counter;

always@(posedge clk or negedge reset)
    if(!reset)
        begin
        counter <= 0;
        end
    else if(counter<3)
        begin
        counter <= (counter + 1);
        end
    else
        begin
        counter <= 0;
        end    
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值