union的使用原则

union类型变量的成员共用同一块内存空间,空间大小是由占用内存空间最大的变量决定的,并且每个成员的首地址是一样的(你可以打印每个成员的首地址试试)。

//诊断结果数据类型
typedef union
{
    rt_int16_t    I16;
    rt_uint8_t   UI8;        //无符号8位整数
    rt_uint8_t   Array[4];   //无符号8位整数数组,打包解包时用于存取各基本数据类型
    rt_uint16_t  UI16;       //无符号16位整数
    rt_uint32_t  UI32;       //无符号32位整数
    float        F;          //浮点数
    void        *vPtr;       //指针,VIN,supportPIDS,DTC将来用到缓存指针
}DG_DATA_TYPE;

 

需要理解每个成员的首地址是一样的,即可(取址注意大小端模式。取决于编译器)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值