edhat安装synopsys软件(Installer_v3.0、SCL 11.5、vcs_mx_vH-2013.06等)

第一章

开始安装

1. 目录结构,本人在linux 的用户bluesea根目录下建了/home/bluesea/study_space/synopsys 文件夹,安装文件全部解压在/synopsys/setup-files(如果遇到权限问题,自主切换为root)。文件结构:

/studu_space/synopsys

|---installer_v3.0

|--- scl_v11.5

|---license

|---setup-files

|--- vcs-mx_vH-2013.06

2. 安装installer,将installer3.0 解压到installer_v3.0 文件夹即可。解压后出现以下文件:

Install_bininstallerinstaller_v3.0.tar.Zinstall.nowsetup.sh

3. 安装SCL

1)将SCL解压到setup-files/scl_v11.文件夹下,可能生成

scl_v11.5_linux.tar

scl_v11.5_common.tar

scl_v11.5_amd64.tar

再分别解压,生成的是三个文件夹

scl_v11.5_linux

scl_v11.5_common

scl_v11.5_amd64

每个文件夹中会有类似release或者linux的文件夹。

2)在终端下

$ cd /home/bluesea/study_space/synopsys/installer_v3.0

$ ./setup.sh(或者./installer -gui)

注意:这里登陆redhat系统时要选择非root用户,否则提示类似 isn’t a TK application的错误

出现蓝色安装界面,右下角是“start”,点击进入下一步,会出现填写 ID SITE CONTACT都不用管,下一。。。,

选中scl 解压所在文件夹,这里的source 为/synopsys/setup-files/scl_v11.5/scl_v11.5_linux 然后一直next,过程中有些选项都不需要管,也不需要填,直到选中安装目标路径,这里的安装路径是/synopsys/scl_v11.5,同样把scl_v11.5_common 文件安装到/synopsys/scl_v11.5,(如果是64bit,同样安装scl_v11.5_amd64/synopsys/scl_v11.5)。

注意:可能提示没有platform类似依赖错误,可以尝试调换commonlinux的安装顺序。

4. 安装VCS

1)将VCS解压到setup-files/vcs_mx_vH-2013.06文件夹下,可能生成vcs_mx_vH-2013.06_linux.tar

vcs_mx_vH-2013.06_common.tar

vcs_mx_vH-2013.06_amd64.tar

再分别解压,生成的是三个文件夹

vcs_mx_vH-2013.06_linux.tar

vcs_mx_vH-2013.06_common.tar

vcs_mx_vH-2013.06_amd64.tar

每个文件夹中会有类似release或者linux的文件夹。

2)在终端下

$ cd /home/bluesea/study_space/synopsys/installer_v3.0

$ ./setup.sh(或者./installer -gui)

选中vcs 解压所在文件夹,这里的source 为/synopsys/setup-files/ vcs_mx_vH-2013.06/ vcs_mx_vH-2013.06_linux 然后一直next,过程中有些选项都不需要管,也不需要填,直到选中安装目标路径,这里的安装路径是/synopsys/ vcs_mx_vH-2013.06,同样把vcs_mx_vH-2013.06_common 文件安装到/synopsys/ vcs_mx_vH-2013.06,(如果是64bit,同样安装vcs_mx_vH-2013.06_amd64/synopsys/ vcs_mx_vH-2013.06)。

注意:可能提示没有platform类似依赖错误,可以尝试调换commonlinux的安装顺序。

 

第二章

(前)Server设置:license文件中的Sever name 同虚拟机的不一样,所以这里先要改虚拟机的hostname,对于redhat来说,首先更改/etc/rc.d/rc.sysinit文件中的hostname到你想要的名字,然后依次是/etc/sysconfig/network/etc/hosts文件,改完重启一下虚拟机即可。redhat#gedit etc/hosts 在末尾添加代码127.0.0.1 redhat-bluesea

(一)相信大家参考以上教程都能成功安装synopsys的软件,最搞人的就算那个license,下面讲讲正确生成license的办法(用虚拟机安装的请参考百度文库里面的破解经验) 关键之处在于你要生成单机版的license的话:运行LicGen.exe选择“select HostID”选择custom(而不是联机用的ethernet),不要选择“useDaemon”keygen.exe所使用的hostid一定要保证与LicGen.exe所使用的ID是一致的。而且该hostid要与lmhostid是一致的。lmhostid的查看方法:安装synopsysSCL之后,进入linux/bin目录下,运行lmhostid即可查看。步骤如下(生成license必须在window环境下):

1. 进入EFA LicGen0.4b文件夹,双击运行LicGen.exe,会弹出一个对话框,点击“open”按钮,找到pack目录下的Synopsys.lpd打开。“select HostID”选择custom(而不是ethernet,并输入hostIDhostID就是由运行/scl/linux/bin/lmhostid得到的,如000c29d20f52。不要选择“useDaemon”。最后点击“Generate”生成license文件,将生成的license文件保存为synopsys.dat,放在“Synopsys SSS Feature Keygen”文件夹中.

2.打开windows的命令提示符CMD。(开始---->运行---->输入cmd    进入“SynopsysSSS Feature Keygen”,运行命令“sssverify.exe synopsys.dat”,此时便生成一串20个字符的SECRET DATA(如SECRET DATA = 034f 00004db0 0000 2fc0),将这20个字符复制到剪切板,进入下一步.

3. 运行KeyGen.exe,将刚才的20个字符复制到“SECRETDATA框中,将(1)中得到的hostid填写在hostid框中,点击Generate,此时将会有一个文件叫license.dat的文件生成.

4. 打开license.dat,将Sever开始之后的所有行复制到第一部生成的synopsys.dat文件中,并把synopsys.dat中原有的FEATURESSS全部删除。例如:SERVER  host_name 000c29d20f52 27000,host_name改为你的主机名字,例如SERVER  redhat-bluesea 000c29d20f52 27000。

(二)成功启动license运行软件

1. 切换到redhat linux环境下,可以看到刚才在win7下生成的synopsys.dat,把它拷贝到根目录下的/synopsys/license/synopsys.dat (我的软件都是安装在/usr/synopsys目录下,license/usr/synopsys/license/目录下,和网上大多数网友的目录设置差不多)

2.配置环境变量
      
安装一个安装包后就可以右键以管理员身份打开位于根目录下的root文件夹(任何修改编辑rootusr目录下的文件都必须以root管理员身份进入),键入ctrl+h,显示.bashrc文件,打开后在文件最后加入:

#dve

export PATH="/home/bluesea/study_space/synopsys/vcs_mx_vH-2013.06/gui/dve/bin:"$PATH

#VCS

export PATH="/home/bluesea/study_space/synopsys/vcs_mx_vH-2013.06/bin:"$PATH

#Design Compiler

#export PATH="/usr/synopsys/dc200809/bin:"$PATH

#pts

#export PATH="/usr/synopsys/pt/pt_2011/bin:"$PATH

#lmgrd

export PATH="/home/bluesea/study_space/synopsys/scl_v11.5/linux/bin:"$PATH

#start synopsys license using lmgrd

alias lmli2="lmgrd -c /home/bluesea/study_space/synopsys/license/synopsys.dat -l ~/syn_lic.log"

 

#export SYNOPSYS="/usr/synopsys/dc200809"

export SNPSLMD_LICENSE_FILE=27000@redhat-bluesea

export LM_LICENSE_FILE=/home/bluesea/study_space/synopsys/license/synopsys.dat

export VCS_HOME="/home/bluesea/study_space/synopsys/vcs_mx_vH-2013.06"

export DVE_HOME="/home/bluesea/study_space/synopsys/vcs_mx_vH-2013.06/gui/dve"

#export PT_HOME="/usr/synopsys/pt/pt_2011"

 

alias dc="dc_shell"

alias dv="design_vision"

alias vcs="vcs"

alias dve="dve"

alias pt="primetime"

 

以上为需要各位根据自己的计算机名,hostid,安装目录修改。此处和网上大多数不同的是加入了export LM_LICENSE_FILE这一行,用来设置license的环境变量,如果不设置,会提示Use LM_LICENSE_FILE to use a different license file,or contact your software provider for a license file.Filename:      /usr/local/flexlm/licenses/license.dat,当然你也可以根据提示新建目录/usr/local/flexlm/licenses/,把synopsys.dat拷贝在这个目录下并把文件改名为license.dat

3. 启动终端 输入sudo -i,输入你的密码切换到root权限下,
输入:lmstat -c
 /home/bluesea/study_space /synopsys/license/synopsys.dat

有如下提示:
lmstat - Copyright (c) 1989-2006 Macrovision Europe Ltd. and/or Macrovision Corporation. All Rights Reserved.
Flexible License Manager status on Mon 9/12/2011 15:49
License server status: 27000@ubuntu
    License file(s) on ubuntu: /usr/synopsys/license/synopsys.dat:
lmgrd is not running: Cannot connect to license server system. (-15,570:115 "Operation now in progress")

 

再输入:lmgrd -c /home/bluesea/study_space/synopsys/license/synopsys.dat 启动license管理器

结果如下:
15:49:36 (lmgrd) The license server manager (lmgrd) running as root:
15:49:36 (lmgrd)     This is a potential security problem
15:49:36 (lmgrd)     and is not recommended.
root@ubuntu:~# 15:49:36 (lmgrd) FLEXnet Licensing (v10.8.5.0 build 31891 i86_re3) started on ubuntu (linux) (9/12/2011)
15:49:36 (lmgrd) Copyright (c) 1988-2006 Macrovision Europe Ltd. and/or Macrovision Corporation. All Rights Reserved.
15:49:36 (lmgrd) US Patents 5,390,297 and 5,671,412.
15:49:36 (lmgrd) World Wide Web:  http://www.macrovision.com
15:49:36 (lmgrd) License file(s): /usr/synopsys/license/synopsys.dat
15:49:36 (lmgrd) lmgrd tcp-port 27000
15:49:36 (lmgrd) The license server manager has found no vendor daemons to start
15:49:36 (lmgrd)  (There are no VENDOR (or DAEMON) lines in the license file),
    lmgrd exiting.
再输入:dv&
结果:DC Professional (TM)
                           DC Expert (TM)
                            DC Ultra (TM)
                       FloorPlan Manager (TM)
                          HDL Compiler (TM)
                         VHDL Compiler (TM)
                        Library Compiler (TM)
                      DesignWare Developer (TM)
                          DFT Compiler (TM)
                            BSD Compiler
                         Power Compiler (TM)

             Version B-2008.09 for linux -- Aug 25, 2008
              Copyright (c) 1988-2008 by Synopsys, Inc.
                         ALL RIGHTS RESERVED

This software and the associated documentation are confidential and
proprietary to Synopsys, Inc. Your use or disclosure of this software
is subject to the terms and conditions of a written license agreement
between you, or your company, and Synopsys, Inc.

The above trademark notice does not imply that you are licensed to use
all of the listed products. You are licensed to use only those products
for which you have lawfully obtained a valid license key.

Initializing...  
然后跳出软件界面,^_^成功了。

 

再输入:dve  vcs的图形仿真界面也出来了,其他软件也可以都搞定了吧!

 

(三)安装过程中其他问题及解决办法(问题56安装VCS会遇到)

(1).进入root权限  sudo passwd root :修改密码,su :密码 ,就进入了root权限了

(2).ubuntu
中右键以管理员身份打开,可以删除、修改、新建文件和文件夹

(3).
修改计算机名hostname:修改/etc/hostname文件就可以了

(4).Can't make directory /usr/tmp/.flexlm, errno

(5).
启动vcs总是报 Illegal option -h 
解决办法:
修改ubuntu 上的/bin/sh
ubuntu上,/bin/sh默认是链接到/bin/dash的,当你从源代码编译软件的时候,dash可能会导致一些错误,至少我遇到过 类似问题,因此,我把/bin/sh的连接改为了/bin/bash
应该这样做:

rm -f /bin/sh

ln -s /bin/bash /bin/sh

就可以用vcs.vde也可以启动了

(6).Warning-[LNX_OS_VERUN] Unsupported Linux version
  Linux version '' is not supported on 'i686' officially, assuming linux
  compatibility by default. Set VCS_ARCH_OVERRIDE to linux or SUSE32 to
  override.
  Please refer to release notes for information on supported platforms.

                         Chronologic VCS (TM)
            Version D-2009.12 -- Sun Jun 19 21:49:46 2011
               Copyright (c) 1991-2009 by Synopsys Inc.
                         ALL RIGHTS RESERVED
解决办法:
.bashrc中设置export VCS_ARCH_OVERRIDE=linux
####################################################
其中还遇到了下述列表一些问题,按照上述方法都解决了,很多都算license没有生成好,虽然sssverify也能通过好像license没问题。我开始也是sssverify什么都看上去可以,用lmgrd启动license,查看lmgrd记录的log文件有:
Serving features for the following vendor names:snpslmd  CADABRA  EPIC  ISETCADd  TE_CATS  adalmd  anagram  avantd  chrysalisd  everest  hscd  innologd  la_dmon  leda  metasoftd  nassd  numeritchd  saber_dmn  slat  ssilmd  synopsysd  tmald  vcsd  
13:39:56 (snpslmd) Checking the integrity of the license file....
13:39:56 (snpslmd) Valid SSS feature found.
13:39:56 (snpslmd) The feature is needed to enable the other keys in your license file.
之类的东东

root@ubuntu:~# Unable to obtain feature 'Design-Vision ' because:
Error: Software is not licensed for this machine. (SEC-10)
Unable to obtain feature 'Design-Analyzer ' because:
Error: Software is not licensed for this machine. (SEC-10)
Fatal: At least one of the following must be enabled : Design-Vision, Design-Analyzer. (DCSH-10)

Design Compiler is not enabled

license manager: can't initialize: Cannot find license file.
The license files (or license server system network addresses) attempted are
listed below.  Use LM_LICENSE_FILE to use a different license file,
or contact your software provider for a license file.
Filename:      /usr/local/flexlm/licenses/license.dat
License path:  /usr/local/flexlm/licenses/license.dat

license manager can't initialize: No SERVER lines in license file


  • 4
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值