CentOS7安装VCS、Verdi、SCL

CentOS7安装VCS、Verdi、SCL
1.Linux下所需安装文件

链接:https://pan.baidu.com/s/1E_l4ARFYEnp57jlX95Bpog
提取码:ggdz

​ synopsysinstaller_v5.0

​ scl_v2018.06

​ vcs_mx_vO-2018.09-SP2

​ vcs_vO-2018.09-SP2

​ verdi-2018.9

2.Windows破解文件

​ scl_keygen_2030

3.安装installer

打开终端,进入synopsysinstaller_v5.0目录,运行.run文件,会生成setup.sh文件,运行setup.sh

./SynopsysInstaller_v5.0.run
./setup.sh

运行setup.sh提示缺少依赖库libXss.so.1

repoquery --nvr --whatprovides libXss.so.1
sudo yum install libXScrnSaver-1.2.2-6.1.el7

再次运行setup.sh
在这里插入图片描述

4.安装VCS等软件

打开终端,进入home文件夹(/home),新建安装文件夹并设置权限

sudo mkdir synopsys
sudo chmod 777 synopsys

然后进去到installer文件夹,运行setup.sh,开始安装,下一步

在这里插入图片描述
选择安装包路径
在这里插入图片描述
选择安装路径,指定到刚刚创建的安装文件夹
在这里插入图片描述
在这里插入图片描述

然后一路Next,最后Finish

其他软件按步骤依次安装

5.Windows下生成license

运行scl_keygen.exe

修改以下信息
在这里插入图片描述

port设置为27000

进入Linux系统查看host name及host id

hostname
ifconfig

在这里插入图片描述

点击generate生成license

打开生成的license,也就是Synopsys.dat文件,修改第二行,需要与安装的scl路径一致

把license复制到scl/2018.06/admin/license/文件夹下
在这里插入图片描述

6.Linux下环境设置

打开用户文件夹下的.bashrc文件,添加以下内容,注意安装路径,且倒数第二行与hostname一致

export DVE_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_MX_HOME=/home/synopsys/vcs-mx/O-2018.09-SP2
export LD_LIBRARY_PATH=/home/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64
export VERDI_HOME=/home/synopsys/verdi/Verdi_O-2018.09-SP2
export SCL_HOME=/home/synopsys/scl/2018.06


#dve
PATH=$PATH:$VCS_HOME/gui/dve/bin
alias dve="dve"

#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"

#VERDI
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"

#scl
PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux

#LICENCE
export LM_LICENSE_FILE=27000@localhost.localdomain
alias lmg_synopsys="lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.dat"

终端更新.bashrc

source .bashrc
7.激活

设置开放端口

firewall-cmd --zone=public --add-port=27000/tcp --permanent
firewall-cmd --reload

输入 lmg_synopsys 进行激活,若提示缺少依赖库,输入以下命令安装

yum install redhat-lsb.i686

激活好后输入“verdi”打开verdi工具,查看是否激活成功,但是这儿有是有错误,使用下面命令进行安装,成功后再输入“verdi”,能够打开verdi,激活成功

sudo yum install libpng12 -y
8.设置开机自动激活

进入到以下目录,切换到root打开rc.local文件

su
cd /etc/rc.d
gvim rc.local

文件中添加以下代码

[lmgrd的路径] -c [Synopsys.dat的路径]
exit 0

在这里插入图片描述

为rc.local添加可执行权限

chmod +x rc.local

设置完成!

  • 10
    点赞
  • 130
    收藏
    觉得还不错? 一键收藏
  • 20
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 20
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值