使用开源软件进行Verilog HDL仿真-安装篇

本文详细介绍了如何在不同平台上安装开源的Verilog仿真软件Icarus Verilog和波形查看器gtkwave。包括Windows上的直接安装包使用,以及在Linux、BSD、AIX、Mac OSX等Xnix系统上的源代码编译方法,涉及Cygwin环境下的编译和依赖库的安装。文章还展示了简单的Verilog代码编译和仿真实例。
摘要由CSDN通过智能技术生成

本系列文章介绍使用开源软件Icarus Verilog和gtkwave进行HDL前端仿真。

 

 


 

一、软件简介

 

 

  • Icarus Verilog
    Icarus Verilog 是一款开源的verilog仿真软件,具有一下特点:

    • 跨平台,Linux/BSD/AIX/Mac OSX/Windows
    • 编译型仿真软件
    • 支持IEEE1364-1995,IEEE1364-2001和IEEE1364-2005标准
    • 支持VPI(即PLI2.0)并实现了一个对标准VPI的扩展
    • 提供一个verilog到VHDL的语言转换器
  • gtkwave
    gtkwave是一款基于gtk+的轻量级波形查看软件,支持的格式有

    • 标准Verilog值变转储文件(VCD/EVCD)
    • interLaced eXtensible Trace文件(LXT/LXT2)
    • Verilog/VHDL Zipped Trace(VZT)
    • GHDL仿真器的转储文件(GHW)

    gtkwave也是跨平台的,它的跨平台特性来自于gtk+的跨平台特性

二、安装

 

  • Microsoft Windows
    在Windows平台下可以直接使用整合了iverilog和gtkwave的
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值