Python基础(十三) 机器学习sklearn库详解与应用_e anad lib site-packages sklearn linear_model _lo(1)

| 123 | 4.9 | 1.8 | 2 |
| 124 | 5.7 | 2.1 | 2 |
| 125 | 6.0 | 1.8 | 2 |
| 126 | 4.8 | 1.8 | 2 |
| 127 | 4.9 | 1.8 | 2 |
| 128 | 5.6 | 2.1 | 2 |
| 129 | 5.8 | 1.6 | 2 |
| 130 | 6.1 | 1.9 | 2 |
| 131 | 6.4 | 2.0 | 2 |
| 132 | 5.6 | 2.2 | 2 |
| 133 | 5.1 | 1.5 | 2 |
| 134 | 5.6 | 1.4 | 2 |
| 135 | 6.1 | 2.3 | 2 |
| 136 | 5.6 | 2.4 | 2 |
| 137 | 5.5 | 1.8 | 2 |
| 138 | 4.8 | 1.8 | 2 |
| 139 | 5.4 | 2.1 | 2 |
| 140 | 5.6 | 2.4 | 2 |
| 141 | 5.1 | 2.3 | 2 |
| 142 | 5.1 | 1.9 | 2 |
| 143 | 5.9 | 2.3 | 2 |
| 144 | 5.7 | 2.5 | 2 |
| 145 | 5.2 | 2.3 | 2 |
| 146 | 5.0 | 1.9 | 2 |
| 147 | 5.2 | 2.0 | 2 |
| 148 | 5.4 | 2.3 | 2 |
| 149 | 5.1 | 1.8 | 2 |

150 rows × 3 columns

【5】数据集的标准化(本数据集特征比较接近,实际处理过程中未标准化)

from sklearn.preprocessing import StandardScaler
import pandas as pd

trans = StandardScaler()
_iris_simple = trans.fit_transform(iris_simple[["petal\_length", "petal\_width"]])
_iris_simple = pd.DataFrame(_iris_simple, columns = ["petal\_length", "petal\_width"])
_iris_simple.describe()

petal_lengthpetal_width
count1.500000e+021.500000e+02
mean-8.652338e-16-4.662937e-16
std1.003350e+001.003350e+00
min-1.567576e+00-1.447076e+00
25%-1.226552e+00-1.183812e+00
50%3.364776e-011.325097e-01
75%7.627583e-017.906707e-01
max1.785832e+001.712096e+00

【6】构建训练集和测试集(本课暂不考虑验证集)

from sklearn.model_selection import train_test_split

train_set, test_set = train_test_split(iris_simple, test_size=0.2) # 20%的数据作为测试集
test_set.head()

petal_lengthpetal_widthspecies
31.50.20
1115.31.92
241.90.20
51.70.40
924.01.21
iris_x_train = train_set[["petal\_length", "petal\_width"]]
iris_x_train.head()

petal_lengthpetal_width
634.71.4
933.31.0
341.50.2
351.20.2
1264.81.8
iris_y_train = train_set["species"].copy()
iris_y_train.head()

63     1
93     1
34     0
35     0
126    2
Name: species, dtype: int32

iris_x_test = test_set[["petal\_length", "petal\_width"]]
iris_x_test.head()

petal_lengthpetal_width
31.50.2
1115.31.9
241.90.2
51.70.4
924.01.2
iris_y_test = test_set["species"].copy()
iris_y_test.head()

3      0
111    2
24     0
5      0
92     1
Name: species, dtype: int32

13.1 k近邻算法

【1】基本思想

与待预测点最近的训练数据集中的k个邻居

把k个近邻中最常见的类别预测为带预测点的类别

【2】sklearn实现

from sklearn.neighbors import KNeighborsClassifier

  • 构建分类器对象
clf = KNeighborsClassifier()
clf

KNeighborsClassifier(algorithm='auto', leaf_size=30, metric='minkowski',
                     metric_params=None, n_jobs=None, n_neighbors=5, p=2,
                     weights='uniform')

  • 训练
clf.fit(iris_x_train, iris_y_train)

KNeighborsClassifier(algorithm='auto', leaf_size=30, metric='minkowski',
                     metric_params=None, n_jobs=None, n_neighbors=5, p=2,
                     weights='uniform')

  • 预测
res = clf.predict(iris_x_test)
print(res)
print(iris_y_test.values)

[0 2 0 0 1 1 0 2 1 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]
[0 2 0 0 1 1 0 2 2 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]

  • 翻转
encoder.inverse_transform(res)

array(['setosa', 'virginica', 'setosa', 'setosa', 'versicolor',
       'versicolor', 'setosa', 'virginica', 'versicolor', 'virginica',
       'versicolor', 'virginica', 'virginica', 'virginica', 'versicolor',
       'setosa', 'setosa', 'setosa', 'versicolor', 'setosa', 'virginica',
       'setosa', 'virginica', 'versicolor', 'setosa', 'versicolor',
       'setosa', 'setosa', 'versicolor', 'versicolor'], dtype=object)

  • 评估
accuracy = clf.score(iris_x_test, iris_y_test)
print("预测正确率:{:.0%}".format(accuracy))

预测正确率:97%

  • 存储数据
out = iris_x_test.copy()
out["y"] = iris_y_test
out["pre"] = res
out

petal_lengthpetal_widthypre
31.50.200
1115.31.922
241.90.200
51.70.400
924.01.211
573.31.011
11.40.200
1125.52.122
1064.51.721
1365.62.422
803.81.111
1316.42.022
1475.22.022
1135.02.022
844.51.511
391.50.200
401.30.300
171.40.300
564.71.611
21.30.200
1006.02.522
421.30.200
1445.72.522
793.51.011
191.50.300
754.41.411
441.90.400
371.40.100
643.61.311
904.41.211
out.to_csv("iris\_predict.csv")

【3】可视化

import numpy as np
import matplotlib as mpl
import matplotlib.pyplot as plt

def draw(clf):

    # 网格化
    M, N = 500, 500
    x1_min, x2_min = iris_simple[["petal\_length", "petal\_width"]].min(axis=0)
    x1_max, x2_max = iris_simple[["petal\_length", "petal\_width"]].max(axis=0)
    t1 = np.linspace(x1_min, x1_max, M)
    t2 = np.linspace(x2_min, x2_max, N)
    x1, x2 = np.meshgrid(t1, t2)
    
    # 预测
    x_show = np.stack((x1.flat, x2.flat), axis=1)
    y_predict = clf.predict(x_show)
    
    # 配色
    cm_light = mpl.colors.ListedColormap(["#A0FFA0", "#FFA0A0", "#A0A0FF"])
    cm_dark = mpl.colors.ListedColormap(["g", "r", "b"])
    
    # 绘制预测区域图
    plt.figure(figsize=(10, 6))
    plt.pcolormesh(t1, t2, y_predict.reshape(x1.shape), cmap=cm_light)
    
    # 绘制原始数据点
    plt.scatter(iris_simple["petal\_length"], iris_simple["petal\_width"], label=None,
                c=iris_simple["species"], cmap=cm_dark, marker='o', edgecolors='k')
    plt.xlabel("petal\_length")
    plt.ylabel("petal\_width")
    
    # 绘制图例
    color = ["g", "r", "b"]
    species = ["setosa", "virginica", "versicolor"]
    for i in range(3):
        plt.scatter([], [], c=color[i], s=40, label=species[i])    # 利用空点绘制图例
    plt.legend(loc="best")
    plt.title('iris\_classfier')

draw(clf)

image-20221003185312401

13.2 朴素贝叶斯算法

【1】基本思想

当X=(x1, x2)发生的时候,哪一个yk发生的概率最大

【2】sklearn实现

from sklearn.naive_bayes import GaussianNB

  • 构建分类器对象
clf = GaussianNB()
clf

  • 训练
clf.fit(iris_x_train, iris_y_train)

  • 预测
res = clf.predict(iris_x_test)
print(res)
print(iris_y_test.values)

[0 2 0 0 1 1 0 2 1 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]
[0 2 0 0 1 1 0 2 2 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]

  • 评估
accuracy = clf.score(iris_x_test, iris_y_test)
print("预测正确率:{:.0%}".format(accuracy))

预测正确率:97%

  • 可视化
draw(clf)

image-20221003185331876

13.3 决策树算法

【1】基本思想

CART算法:每次通过一个特征,将数据尽可能的分为纯净的两类,递归的分下去

【2】sklearn实现

from sklearn.tree import DecisionTreeClassifier

  • 构建分类器对象
clf = DecisionTreeClassifier()
clf

DecisionTreeClassifier(class_weight=None, criterion='gini', max_depth=None,
                       max_features=None, max_leaf_nodes=None,
                       min_impurity_decrease=0.0, min_impurity_split=None,
                       min_samples_leaf=1, min_samples_split=2,
                       min_weight_fraction_leaf=0.0, presort=False,
                       random_state=None, splitter='best')

  • 训练
clf.fit(iris_x_train, iris_y_train)

DecisionTreeClassifier(class_weight=None, criterion='gini', max_depth=None,
                       max_features=None, max_leaf_nodes=None,
                       min_impurity_decrease=0.0, min_impurity_split=None,
                       min_samples_leaf=1, min_samples_split=2,
                       min_weight_fraction_leaf=0.0, presort=False,
                       random_state=None, splitter='best')

  • 预测
res = clf.predict(iris_x_test)
print(res)
print(iris_y_test.values)

[0 2 0 0 1 1 0 2 1 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]
[0 2 0 0 1 1 0 2 2 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]

  • 评估
accuracy = clf.score(iris_x_test, iris_y_test)
print("预测正确率:{:.0%}".format(accuracy))

预测正确率:97%

  • 可视化
draw(clf)

image-20221003185344894

13.4 逻辑回归算法

【1】基本思想

一种解释:

训练:通过一个映射方式,将特征X=(x1, x2) 映射成 P(y=ck), 求使得所有概率之积最大化的映射方式里的参数

预测:计算p(y=ck) 取概率最大的那个类别作为预测对象的分类

【2】sklearn实现

from sklearn.linear_model import LogisticRegression

  • 构建分类器对象
clf = LogisticRegression(solver='saga', max_iter=1000)
clf

LogisticRegression(C=1.0, class_weight=None, dual=False, fit_intercept=True,
                   intercept_scaling=1, l1_ratio=None, max_iter=1000,
                   multi_class='warn', n_jobs=None, penalty='l2',
                   random_state=None, solver='saga', tol=0.0001, verbose=0,
                   warm_start=False)

  • 训练
clf.fit(iris_x_train, iris_y_train)

C:\Users\ibm\Anaconda3\lib\site-packages\sklearn\linear_model\logistic.py:469: FutureWarning: Default multi_class will be changed to 'auto' in 0.22. Specify the multi_class option to silence this warning.
  "this warning.", FutureWarning)





LogisticRegression(C=1.0, class_weight=None, dual=False, fit_intercept=True,
                   intercept_scaling=1, l1_ratio=None, max_iter=1000,
                   multi_class='warn', n_jobs=None, penalty='l2',
                   random_state=None, solver='saga', tol=0.0001, verbose=0,
                   warm_start=False)

  • 预测
res = clf.predict(iris_x_test)
print(res)
print(iris_y_test.values)

[0 2 0 0 1 1 0 2 1 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]
[0 2 0 0 1 1 0 2 2 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]

  • 评估
accuracy = clf.score(iris_x_test, iris_y_test)
print("预测正确率:{:.0%}".format(accuracy))

预测正确率:97%

  • 可视化
draw(clf)

image-20221003185357659

13.5 支持向量机算法

【1】基本思想

以二分类为例,假设数据可用完全分开:

用一个超平面将两类数据完全分开,且最近点到平面的距离最大

【2】sklearn实现

from sklearn.svm import SVC

  • 构建分类器对象
clf = SVC()
clf

SVC(C=1.0, cache_size=200, class_weight=None, coef0=0.0,
    decision_function_shape='ovr', degree=3, gamma='auto_deprecated',
    kernel='rbf', max_iter=-1, probability=False, random_state=None,
    shrinking=True, tol=0.001, verbose=False)

  • 训练
clf.fit(iris_x_train, iris_y_train)

C:\Users\ibm\Anaconda3\lib\site-packages\sklearn\svm\base.py:193: FutureWarning: The default value of gamma will change from 'auto' to 'scale' in version 0.22 to account better for unscaled features. Set gamma explicitly to 'auto' or 'scale' to avoid this warning.
  "avoid this warning.", FutureWarning)





SVC(C=1.0, cache_size=200, class_weight=None, coef0=0.0,
    decision_function_shape='ovr', degree=3, gamma='auto_deprecated',
    kernel='rbf', max_iter=-1, probability=False, random_state=None,
    shrinking=True, tol=0.001, verbose=False)

  • 预测
res = clf.predict(iris_x_test)
print(res)
print(iris_y_test.values)

[0 2 0 0 1 1 0 2 1 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]
[0 2 0 0 1 1 0 2 2 2 1 2 2 2 1 0 0 0 1 0 2 0 2 1 0 1 0 0 1 1]

  • 评估
accuracy = clf.score(iris_x_test, iris_y_test)
print("预测正确率:{:.0%}".format(accuracy))

预测正确率:97%

  • 可视化
draw(clf)

image-20221003185417865

13.6 集成方法——随机森林

【1】基本思想

训练集m,有放回的随机抽取m个数据,构成一组,共抽取n组采样集

n组采样集训练得到n个弱分类器 弱分类器一般用决策树或神经网络

将n个弱分类器进行组合得到强分类器

【2】sklearn实现

from sklearn.ensemble import RandomForestClassifier

  • 构建分类器对象
clf = RandomForestClassifier()
clf

RandomForestClassifier(bootstrap=True, class_weight=None, criterion='gini',
                       max_depth=None, max_features='auto', max_leaf_nodes=None,
                       min_impurity_decrease=0.0, min_impurity_split=None,
                       min_samples_leaf=1, min_samples_split=2,
                       min_weight_fraction_leaf=0.0, n_estimators='warn',
                       n_jobs=None, oob_score=False, random_state=None,
                       verbose=0, warm_start=False)

  • 训练
clf.fit(iris_x_train, iris_y_train)

C:\Users\ibm\Anaconda3\lib\site-packages\sklearn\ensemble\forest.py:245: FutureWarning: The default value of n_estimators will change from 10 in version 0.20 to 100 in 0.22.
  "10 in version 0.20 to 100 in 0.22.", FutureWarning)





RandomForestClassifier(bootstrap=True, class_weight=None, criterion='gini',
                       max_depth=None, max_features='auto', max_leaf_nodes=None,
                       min_impurity_decrease=0.0, min_impurity_split=None,
                       min_samples_leaf=1, min_samples_split=2,
                       min_weight_fraction_leaf=0.0, n_estimators=10,


![img](https://img-blog.csdnimg.cn/img_convert/4113ca8bd8fda160b4db3240c3015d19.png)
![img](https://img-blog.csdnimg.cn/img_convert/a8905e71e61a2e49d6de003e51debd86.png)
![img](https://img-blog.csdnimg.cn/img_convert/fb88cd6fd48ed129d9e5d9a552df4164.png)

**既有适合小白学习的零基础资料,也有适合3年以上经验的小伙伴深入学习提升的进阶课程,涵盖了95%以上大数据知识点,真正体系化!**

**由于文件比较多,这里只是将部分目录截图出来,全套包含大厂面经、学习笔记、源码讲义、实战项目、大纲路线、讲解视频,并且后续会持续更新**

**[需要这份系统化资料的朋友,可以戳这里获取](https://bbs.csdn.net/topics/618545628)**

45: FutureWarning: The default value of n_estimators will change from 10 in version 0.20 to 100 in 0.22.
  "10 in version 0.20 to 100 in 0.22.", FutureWarning)





RandomForestClassifier(bootstrap=True, class_weight=None, criterion='gini',
                       max_depth=None, max_features='auto', max_leaf_nodes=None,
                       min_impurity_decrease=0.0, min_impurity_split=None,
                       min_samples_leaf=1, min_samples_split=2,
                       min_weight_fraction_leaf=0.0, n_estimators=10,


[外链图片转存中...(img-FfCVzH8u-1714417950844)]
[外链图片转存中...(img-Ore4D1bg-1714417950844)]
[外链图片转存中...(img-B2WZTkd8-1714417950844)]

**既有适合小白学习的零基础资料,也有适合3年以上经验的小伙伴深入学习提升的进阶课程,涵盖了95%以上大数据知识点,真正体系化!**

**由于文件比较多,这里只是将部分目录截图出来,全套包含大厂面经、学习笔记、源码讲义、实战项目、大纲路线、讲解视频,并且后续会持续更新**

**[需要这份系统化资料的朋友,可以戳这里获取](https://bbs.csdn.net/topics/618545628)**

  • 12
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是一个简单的SystemVerilog代码示例,用于将MDIO接口转换为APB接口: ``` module mdio_to_apb ( input logic clk, input logic resetn, input [4:0] logic mdio_addr, input [4:0] logic mdio_reg, input logic mdio_rd, input [15:0] logic mdio_data_in, output [31:0] logic apb_addr, output [31:0] logic apb_data_out, output logic apb_rd_en, output logic apb_wr_en, output logic apb_cs_n, output logic apb_resetn ); // Define APB register mapping localparam APB_ADDR_OFFSET = 32'h10000000; localparam APB_CTRL_REG = 0; localparam APB_DATA_REG = 4; // Define MDIO register mapping localparam MDIO_CTRL_REG = 0; localparam MDIO_STATUS_REG = 1; localparam MDIO_PHYID_REG = 2; localparam MDIO_PHYID2_REG = 3; localparam MDIO_ANAD_REG = 4; localparam MDIO_ANLPAD_REG = 5; // Define internal registers logic [15:0] mdio_data_out; logic [31:0] apb_addr_reg; logic apb_rd_en_reg; logic apb_wr_en_reg; // Control register logic [31:0] ctrl_reg; assign apb_addr = apb_addr_reg; assign apb_data_out = ctrl_reg[31:0]; assign apb_rd_en = apb_rd_en_reg; assign apb_wr_en = apb_wr_en_reg; assign apb_cs_n = 1'b0; assign apb_resetn = resetn; always_ff @(posedge clk) begin // Read from MDIO if (mdio_rd) begin case (mdio_addr) MDIO_CTRL_REG: begin mdio_data_out = {mdio_data_in[0], ctrl_reg[31:1]}; end MDIO_PHYID_REG: begin mdio_data_out = 16'h1234; end // Add additional cases for other MDIO registers here endcase end // Write to MDIO else if (!mdio_rd) begin case (mdio_addr) MDIO_CTRL_REG: begin mdio_data_out = mdio_data_in[15:1]; ctrl_reg[31:1] = mdio_data_in[15:1]; end // Add additional cases for other MDIO registers here endcase end // Generate APB address apb_addr_reg = APB_ADDR_OFFSET + (mdio_reg << 2); // Generate APB control signals if (mdio_rd) begin apb_rd_en_reg = 1'b1; apb_wr_en_reg = 1'b0; end else if (!mdio_rd) begin apb_rd_en_reg = 1'b0; apb_wr_en_reg = 1'b1; end end endmodule ``` 该代码模块包括一个名为`mdio_to_apb`的模块,该模块将MDIO接口转换为APB接口。该模块包括一个时钟信号(`clk`)和复位信号(`resetn`),以及输入MDIO信号和输出APB信号。该模块还定义了一些内部寄存器和常数,用于将MDIO寄存器映射到APB寄存器。在时钟上升沿时,该模块读取MDIO接口并将数据写入APB接口,或者从APB接口读取数据并将其写入MDIO接口中。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值