FPGA项目(5)--FPGA控制数码管动态显示的原理_为什么fpga开发板的七段数码管到9就灭了

img
img

既有适合小白学习的零基础资料,也有适合3年以上经验的小伙伴深入学习提升的进阶课程,涵盖了95%以上物联网嵌入式知识点,真正体系化!

由于文件比较多,这里只是将部分目录截图出来,全套包含大厂面经、学习笔记、源码讲义、实战项目、大纲路线、电子书籍、讲解视频,并且后续会持续更新

如果你需要这些资料,可以戳这里获取

//提取显示数值所对应的十进制数的各个位
assign data0 = data[3:0]; // 个位数
assign data1 = data[7:4]; // 十位数
assign data2 = data[11:8]; // 百位数
assign data3 = data[15:12]; // 千位数

always @(posedge sys_clk or negedge sys_rest) begin
if(!sys_rest)
begin
CNT_NUM<=4’d0;
CLK<=1’d1;
end
else if(CNT_NUM<=CLK_NUM/2-1’b1)
begin
CLK<=~CLK;
CNT_NUM<=4’d0;
end
else
begin
CNT_NUM<=CNT_NUM+1;
CLK<=CLK;
end
end

always @(posedge CLK or negedge sys_rest) begin
if(!sys_rest)
num<=16’d0;
else
begin
num[15:12] <= data3; //则依次给4位数码管赋值
num[11:8] <= data2;
num[ 7:4] <= data1;
num[ 3:0] <= data0;
end
end

always @(posedge CLK or negedge sys_rest) begin //产生1ms脉冲
if(!sys_rest)
begin
MSCNT<=13’d0;
MS_flag<=1’b0;
end
else if(MSCNT==MSNUM-1)
begin
MSCNT<=13’d0;
MS_flag<=1’b1;
end
else
begin
MSCNT<=MSCNT+1;
MS_flag<=1’b0;
end
end

always @(posedge CLK or negedge sys_rest) begin
if(!sys_rest)
sel_num<=0;
else if(MS_flag)
begin
if(sel_num<3’d3)
sel_num<=sel_num+1;
else
sel_num<=0;
end
else
sel_num<=sel_num;
end

always @(posedge CLK or negedge sys_rest) begin
if(!sys_rest)
sel<=4’b1111;
else
begin
case(sel_num)
3’d0: begin
sel<= 4’b1110; //显示数码管最低位
num_display<=num[3:0];
end
3’d1: begin
sel<= 4’b1101; //显示数码管第1位
num_display<=num[7:4];
end
3’d2: begin
sel<= 4’b1011; //显示数码管第2位
num_display<=num[11:8];
end
3’d3: begin
sel<= 4’b0111; //显示数码管第3位
num_display<=num[15:12];
end
default sel<= 4’b1111;
endcase
end
end

always @(posedge CLK or negedge sys_rest) begin
if(!sys_rest)
seg_led<=7’b100000;
else
begin
case(num_display)
4’h0 : seg_led <= 7’b1000000;
4’h1 : seg_led <= 7’b1111001;
4’h2 : seg_led <= 7’b0100100;
4’h3 : seg_led <= 7’b0110000;
4’h4 : seg_led <= 7’b0011001;
4’h5 : seg_led <= 7’b0010010;
4’h6 : seg_led <= 7’b0000010;
4’h7 : seg_led <= 7’b1111000;
4’h8 : seg_led <= 7’b0000000;
4’h9 : seg_led <= 7’b0010000;
4’d10: seg_led <= 7’b1111111; //不显示任何字符
default : seg_led <= 7’b1000000;
endcase
end
end

endmodule




![img](https://img-blog.csdnimg.cn/img_convert/e3522d4ebfc2dd021f8e096f03786671.png)
![img](https://img-blog.csdnimg.cn/img_convert/8cf7252946b9e626f48263bd1b2650a6.png)

**既有适合小白学习的零基础资料,也有适合3年以上经验的小伙伴深入学习提升的进阶课程,涵盖了95%以上物联网嵌入式知识点,真正体系化!**

**由于文件比较多,这里只是将部分目录截图出来,全套包含大厂面经、学习笔记、源码讲义、实战项目、大纲路线、电子书籍、讲解视频,并且后续会持续更新**

**[如果你需要这些资料,可以戳这里获取](https://bbs.csdn.net/topics/618679757)**


**由于文件比较多,这里只是将部分目录截图出来,全套包含大厂面经、学习笔记、源码讲义、实战项目、大纲路线、电子书籍、讲解视频,并且后续会持续更新**

**[如果你需要这些资料,可以戳这里获取](https://bbs.csdn.net/topics/618679757)**

  • 3
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
这是一个有趣的问题!实现数码管单片七段计数的方法有很多种,我将介绍一种使用FPGA和VHDL编程实现的方法。 首先,你需要准备以下硬件和软件: 硬件: - FPGA开发板 - 数码管模块 软件: - Vivado设计套件 - 文本编辑器 接下来,我们可以按照以下步骤进行实现: 1. 首先,你需要了解数码管显示的基本原理七段数码管由7个LED灯组成,可以显示数字和一些字母符号。每个LED灯都有一个控制引脚,通过控制引脚的高低电平来控制LED的亮。通过控制不同的引脚,可以显示不同的数字和符号。 2. 然后,你需要编写VHDL程序来控制数码管的显示。程序的主要思路是使用计数器控制数码管显示的数字,同时使用多路复用器控制不同的引脚,从而显示不同的数字和符号。 3. 在Vivado设计套件中创建新的工程,选择你的FPGA开发板型号。然后,添加一个新的VHDL文件,将你编写的数码管显示程序放入其中。 4. 接着,你需要添加一个时钟模块,用于控制计数器的计数。在VHDL程序中,你需要定义时钟信号,并使用时钟信号触发计数器进行计数。 5. 最后,将VHDL程序综合并生成比特流文件,通过下载工具将比特流文件下载到FPGA开发板中。这样,你就可以通过控制FPGA开发板的引脚,将数字显示在数码管上了。 这就是使用FPGA和VHDL编程实现数码管单片七段计数的方法。希望我的回答能够对你有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值