---设置时间模块,EN='1'是这模块的使能信号---
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_unsigned.ALL;
entity settime is
port(m0:out std_logic_vector(3 downto 0);
m1:out std_logic_vector(3 downto 0);
h0:out std_logic_vector(3 downto 0);
h1:out std_logic_vector(3 downto 0);
EN:in std_logic;
key0:in std_logic;
key2:in std_logic);
end settime;
architecture behav of settime is
signal setm0:std_logic_vector(3 downto 0):="0000";
signal setm1:std_logic_vector(3 downto 0):=&