5.按键消抖

图片

 

library IEEE;
use IEEE.std_logic_1164.ALL;
---消抖模块,其实是利用了40ms的clk信号对按键值进行采样,因为采样周期大于按键抖动时间(14ms左右)而---
---又小于按下键的时间(至少0.1s),就保证了跳过抖动阶段----------------------------------------------
entity xiaodou is
port(clk:in std_logic;
 keyin:in std_logic;
 keyout:out std_logic);
end xiaodou;
architecture behav of xiaodou is
begin
 process(clk)
 begin
  if rising_edge(clk) then
  keyout<=keyin;
  end if;
 end process;
end behav;

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值