个人收集资料分享(电子、计算机相关)

个人收集资料分享(电子、计算机相关)

      毕业设计答辩结束! 刚好近几天没事做,就整理了一下大学几年来收集的资料,嘿嘿~ 还不是一般的多啊,拖到Nero里看了一下,有5G多!看来要刻盘的话一张普通DVD还解决不了了!

      大学四年说完就完了,感觉80后虎年生的人都很坎坷啊! 找工作都变得辛苦了! 去年拒了一份offer,没想到过了年回来行情就那么糟糕了,有点后悔了!

      整理资料也好温习一下曾经接触过的知识吧(不敢说研究,有些东西自己确实没火候),比较熟悉的还是嵌入式软件,FPGA方面。

      也当做另一种形式的简历吧! 人说看一个人的书房就可以最深层次的了解这个人!



多数为各大电子论坛大虾们分享的附件资料,放个目录在这里方便大伙查阅索取。

如果有需要的朋友可以给我邮件(eye-zhi@163.com  需要注明您所要资料的详细路径,找找是有点累的嘞)!

确实想要很多的话可以提供刻盘服务

省去大家注册论坛灌水刷积分的烦恼!

 


 

dos下tree /f 命令输出的列表,精简这里过!

 

整理更新时间:2009-03-21
│ 
├─Android
│      andbook.pdf
│     
├─ARM
│  │  ARM中文指令集.chm
│  │  ARM嵌入式系统C语言编程.pdf
│  │  ARM开发软件使用教程 .doc
│  │  Arm的整个开发流程.PDF
│  │  ARM经典300问.pdf
│  │  S3C2410完全开发流程.pdf
│  │  S3C2410开发心得——硬件篇.doc
│  │  Using a completely open source tool chain for ARM.pdf
│  │  基于S3C2410的Linux全线移植文档.pdf
│  │  比较完整的ARM学习笔记.txt
│  │ 
│  ├─44b0sch_pcb
│  │         
│  ├─ARM9 2410开发板原理图
│  │         
│  ├─ARM技术应用7篇
│  │      ARM 指令集(中文版).pdf
│  │      ARM 编程技术.pdf
│  │      ARM7系统中实现CF卡存储的文件系统设计.pdf
│  │      ARM中C和汇编混合编程及示例.pdf
│  │      ARM嵌入式系统中断向量表的动态配置.pdf
│  │      ARM技术在21ic.exe
│  │      AT91系列微处理器和智能卡的接口.pdf
│  │      AT91系列微处理器复位电路应该注意的问题.pdf
│  │      如何对AT91微控制器外接 4x4 键盘.pdf
│  │     
│  └─S3c44b0x
│      │  readme.html
│      └─原理图
│             
├─AVR 资料
│  │  avr_choose[1].pdf
│  │  avr库函数介绍.pdf
│  │  AVR控制步进电机细分.txt
│  │  IAR AVR C 编译器参考(中文简要).pdf
│  │  iccPrj.rar
│  │  Parametric_Table.xls
│  │  中文文档:如何使用AVR-gcc.pdf
│  │  动画来演示AVR单片机内部结构.rar
│  │  官方_avr_jtag.rar
│  │  艺芯的AVR GCC 编程 v1.1.pdf
│  │ 
│  ├─AVR单片机与CPLD_FPGA综合应用入门
│  │     
│  └─ICCAVR的所有库函数的原代码
│      │  ICCAVR的所有库函数的原代码.rar
│      │  ICCAVR的所有库函数的原代码2.rar
│      │ 
│      ├─ICCAVR_LIB
│      │     
│      └─WORK
│                         
├─dotNet
│  │  scrnsaver.zip
│  │  学习Excel VBA与XML、ASP协同应用.pdf
│  │  自定义组件开发.pdf
│  │  自定义组件开发.wmv
│  │ 
│  ├─CSDN.开发高手
│  │     
│  ├─NET 2.0入门与进阶系列
│  │  │  第三部  WinForm编程  第1讲  ADO.NET & 数据库.exe
│  │  │  第三部  WinForm编程  第2讲  ADO.NET之DataReader.exe
│  │  │  第二部  SQL Server 2005  第1讲  数据库基础.exe
│  │  │ 
│  │  └─第四部.Web.&.AJAX编程
│  │      │  DbName.mdf
│  │      │  DbName_log.ldf
│  │      │  [A104].NET 2.0入门与进阶系列 第四部 Web & AJAX编程 第3讲 AJAX实现用户注册上.exe
│  │      │  [A177].NET 2.0入门与进阶系列 第四部 Web & AJAX编程 第5讲 完善AJAX实现用户注册.exe
│  │      │  第1讲 简单数据库编程一.exe
│  │      │  第1讲 简单数据库编程二.exe
│  │      │  第四部  Web & AJAX编程.ppt
│  │      │ 
│  │      └─第4讲 AJAX实现用户注册下
│  │                 
│  │         
│  ├─VS2005应用程序界面美化
│  │  │ 
│  │  └─皮肤
│  │             
│  ├─WebCast
│  │  │  3D游戏开发步步高系列课程(1)_3D视频游戏开发介绍.wmv
│  │  │  C#面向对象设计模式纵横谈(1):面向对象设计模式与原则.wmv
│  │  │  使用MSMQ创建Windows Mobile远程通讯程序.wmv
│  │  │  开发Pocket PC _今日_插件实战演习.wmv
│  │  │  开发可运维的应用程序.wmv
│  │  │ 
│  │  ├─C#2.0 锐利体验系列课程
│  │  │      C#2.0 锐利体验系列课程(1):泛型编程.wmv
│  │  │      C#2.0 锐利体验系列课程(1):泛型编程.zip
│  │  │      C#2.0 锐利体验系列课程(2):匿名方法、迭代器.wmv
│  │  │      C#2.0 锐利体验系列课程(2):匿名方法、迭代器.zip
│  │  │      C#2.0 锐利体验系列课程(3):局部类型、空属类型、静态类.wmv
│  │  │      C#2.0 锐利体验系列课程(3):局部类型、空属类型、静态类.zip
│  │  │     
│  │  ├─Modern C#系列课程
│  │  │      Modern C#(10):C# 中的一些设计技巧.pdf
│  │  │      Modern C#(11):深入“委托和事件.wmv
│  │  │      Modern C#系列课程(1):应用程序的执行.wmv
│  │  │      Modern C#系列课程(10):C# 中的一些设计技巧.wmv
│  │  │      Modern C#系列课程(2):类, 组和名称空间.wmv
│  │  │      Modern C#系列课程(3):现代面向对象的程序设计.wmv
│  │  │      Modern C#系列课程(4):C# 中的类的设计.wmv
│  │  │      Modern C#系列课程(5):C# 中的异常处理.wmv
│  │  │      Modern C#系列课程(6):使用WinForms进行GUI设计.wmv
│  │  │      Modern C#系列课程(7):ADO.NET进行数据库编程.wmv
│  │  │      Modern C#系列课程(8):多层结构应用程序设计.wmv
│  │  │      Modern C#系列课程(9):基于组件的程序设计.wmv
│  │  │     
│  │  ├─Windows嵌入式开发系列课程
│  │  │      Windows嵌入式开发系列课程(1):Windows CE系统定制开发入门.wmv
│  │  │      Windows嵌入式开发系列课程(2):使用Embeded Visual C++开发通讯终端及应用实例代码分析.zip
│  │  │      Windows嵌入式开发系列课程(3):WindowsCE.NET USB驱动开发基础.wmv
│  │  │      Windows嵌入式开发系列课程(4):Windows CE电源管理.zip
│  │  │      Windows嵌入式开发系列课程(5):Windows CE安全开发与配置.zip
│  │  │      Windows嵌入式开发系列课程(6):启动程序BootLoader的分析.zip
│  │  │      Windows嵌入式开发系列课程(7):使用Visual Studio .NET 2003开发基于Windows CE的嵌入式应用.wmv
│  │  │      Windows嵌入式开发系列课程(8):微软嵌入式XP技术现在和未来.zip
│  │  │      Windows嵌入式开发系列课程(9):Windows CE驱动开发综述.zip
│  │  │     
│  │  └─软件开发过程纵横谈
│  │          软件开发过程纵横谈(1):RUP.wmv
│  │          软件开发过程纵横谈(2):敏捷过程.wmv
│  │          软件开发过程纵横谈(3):MSF.wmv
│  │          软件开发过程纵横谈(4):再谈RUP.wmv
│  │          软件开发过程纵横谈(5):再谈敏捷过程.wmv
│  │          软件开发过程纵横谈(6):课程总结及案例分析.wmv
│  │         
│  ├─WinCE
│  │  │  MassCE.ppt
│  │  │  用户界面服务指南-part1.pdf
│  │  │  用户界面服务指南-part2.pdf
│  │  │ 
│  │  ├─ProgrammingCE3
│  │  │      LiB.nfo
│  │  │      MS.Press.Programming.Microsoft.Windows.CE.Dot.NET.3rd.Edition.eBook-LiB.chm
│  │  │      Programming Windows CE2.chm
│  │  │      winCE 程序设计.part1.rar
│  │  │      winCE 程序设计.part2.rar
│  │  │      winCE 程序设计.pdf
│  │  │     
│  │  ├─WinCE OV511 (摄像头驱动)
│  │  │  │  OV511_CE.ppt
│  │  │                 
│  │  ├─WINCE开发指南
│  │  │  │ 
│  │  │  └─Farsight061108wince_media
│  │  │             
│  │  ├─WINCE虚拟内存管理以及如何在WINCE下访问设备地址
│  │  │             
│  │  ├─Windows CE调试方法解析
│  │  │             
│  │  ├─华清远见视频(部分)
│  │  │                 
│  │  └─如何进行联机调试应用程序
│  │                 
│  ├─Windows 应用程序界面设计(Hona原创)
│  │                 
│  └─《msdn开发精选》电子版
│      ├─msdn05-1
│      │  │  msdn05-1.pdf
│      │  │  msdn05-1.rar
│      │  │  msdn05-1code.rar
│      │  │ 
│      │  └─200502
│      │      ├─[技术专区]使用RTC客户端API实现自动代理(Bot)
│      │      │      RTC_InformationAgent.exe
│      │      │     
│      │      ├─[技术专区]无限的无线——在托管应用程序内部接收 SMS 消息
│      │      │      ReceivingSMSMessages.exe
│      │      │     
│      │      ├─[技术专题]C#:一种SQL Server XML和ASP.NET运行时应用程序
│      │      │      CSharpSqlXmlHttpApplication.exe
│      │      │     
│      │      ├─[特别策划]可信代码——通过 XML 签名和加密技术增强数据交换的安全性
│      │      │      XMLSignatures.exe
│      │      │     
│      │      ├─[特别策划]安全授权---在应用程序中保护私有对象的技术
│      │      │      SecuringPrivateObjects.exe
│      │      │     
│      │      ├─[特别策划]应用程序防范---通过防御性编码技术保护应用程序和关键的用户信息
│      │      │      AppLockdown.exe
│      │      │     
│      │      └─[特色专栏]真正的无人驾驶——如何通过.NET和C#跻身DARPA Grand Challenge竞赛
│      │              DARPAChallenge.exe
│      │             
│      ├─msdn05-2
│      │      msdn05-2.rar
│      │      msdn05-2code.rar
│      │     
│      ├─msdn05-3
│      │      msdn05-3.rar
│      │      msdn05-3code.rar
│      │     
│      ├─msdn05-4
│      │      msdn05-4.rar
│      │      msdn05-4code.rar
│      │     
│      ├─msdn05-5
│      │      msdn05-5.rar
│      │      msdn05-5code.rar
│      │     
│      └─msdn05-6
│              msdn05-6.rar
│              msdn05-6code.rar
│             
├─DSP
│      DSP指令详解.pdf
│      数字信号处理_C函数.pdf
│     
├─Flex
│  │  as-实例教程——相册列表.pdf
│  │  Flex中文帮助No1-2章.pdf
│  │  Flex中文帮助No3章.pdf
│  │  Flex中文帮助No4章A.pdf
│  │  Flex中文帮助No4章B.pdf
│  │ 
│  ├─FDT3tutorial
│  │      FDT3.swf
│  │      FDT3_skin.swf
│  │      FDT3(by www.kingda.org).htm
│  │      standard.js
│  │      请点击FDT3_skin观看.txt
│  │     
│  └─MPUI-src-1.1.10
│             
├─FPGA
│  │  FPGA_DDS.pdf
│  │  FPGA工程师面试试题集锦.pdf
│  │  FPGA设计全流程.pdf
│  │  H.264.doc
│  │  High_speed_board_layout.pdf
│  │  MATLAB在FPGA设计中的运用.PDF
│  │  Synplify工具使用指南.pdf
│  │  systemc.pdf
│  │  SystemVerilog 中的随机化激励.pdf
│  │  verilog.ppt
│  │  Verilog超详细教程.pdf
│  │  VHDL程序设计资料.pdf
│  │  vhdl语言例程集锦.pdf
│  │  Vhdl黄金宝典.pdf
│  │  Visual HDL.pdf
│  │  Xilinx的FPGA设计全流程.pdf
│  │  华为FPGA设计流程指南.doc
│  │  基于FPGA的乐曲发生器设计.doc
│  │  基于Verilog HDL的全功能UART IP核的设计与实习.pdf
│  │  嵌入式C语言在工程编程中的应用技巧.PDF
│  │  支持IRDA协议UART的IP核设计.pdf
│  │  片上系统设计方法学基础.doc
│  │  逻辑验证与Testbench 编写.pdf
│  │  高级验证方法学(中文版)(by_mentor).pdf
│  │ 
│  ├─100vhdl例子 (很多常用的模块--加减乘除、计数等等)
│  │  │  vhdl100.pdf
│  │  │ 
│  │  └─100vhdl例子
│  │             
│  ├─8051core-Verilog
│  │     
│  ├─counter
│  │             
│  ├─ModelSim
│  │  │  Modelesim中文教程.pdf
│  │  │  Modelsim中文教程.ppt
│  │  │ 
│  │  ├─debussy_经典教程
│  │  │      Debussy 教程.doc
│  │  │      Debussy 教程1.doc
│  │  │     
│  │  └─中文的ModelSim讲座-1
│  │      │  index.html
│  │      │  使用说明.txt
│  │      │ 
│  │      └─Farsight060921FPGA_media(又是华清的视频)
│  │                 
│  ├─Nios II
│  │  │  br_NiosII_SC.pdf
│  │  │  NIOS II 开发指南.pdf
│  │  │  Nios II 软件开发手册(2006 完整版).pdf
│  │  │  NIOS II 软件开发手册.pdf
│  │  │  Nios II 软件开发文档.pdf
│  │  │  NiosII_SOPCBuilder_Class.ppt
│  │  │  NiosII_SOPCBuilder_Class_5_1_122205.ppt
│  │  │  NIOSII之IDE配置.pdf
│  │  │  NiosII系统开发流程.pps
│  │  │  NiosII软件架构解析.pdf
│  │  │  NIOS_Design_Guider(Rev2.1).pdf
│  │  │  NiosⅡ入门起步.pdf
│  │  │  NIOS常用函数详解.txt
│  │  │  nios的最新讲义.pdf
│  │  │  在niosii上跑uClinux .doc
│  │  │ 
│  │  └─NiosII范例
│  │          Alarm范例.txt
│  │          DMA范例.txt
│  │          Fine-gained Flash Access驱动范例.txt
│  │          HighResTimer范例.txt
│  │          ISR范例.txt
│  │          Simple Flash Access驱动范例.txt
│  │          字符模式设备范例.txt
│  │         
│  ├─spi_op_core
│  │         
│  ├─SynplifyPro
│  │      Synplify guide for modelsim.pdf
│  │      synplify pro经典教程.pdf
│  │      SynplifyPro_QuartusII_Ver5_v4_1.ppt
│  │     
│  ├─SystemC
│  │      LRM_version2.1.pdf
│  │      systemc-2.1.v1.part1.rar
│  │      systemc-2.1.v1.part2.rar
│  │      systemc_tests-2.1.v1.rar
│  │      SystemC_v1_0_Training.pdf
│  │      VC2005中新建systemc工程的方法.txt
│  │     
│  ├─UART
│  │         
│  ├─verilog 大量例子(同前面VHDL的差不多的例子)
│  │     
│  ├─Xilinx_FPGA (应该是芯片库吧、Layout相关)
│  │  │         
│  │  └─PCB_ML505_110306
│  │          PCB_ML505_110306.brd
│  │         
│  └─从算法设计到硬线逻辑的实现(共七章doc文档)
│         
├─IC Design
│  │  cadence讲义_清华微电子所_.ppt
│  │  可编程IC指南.pdf
│  │ 
│  ├─Cadence及MEDICI使用
│  │  │  cadence1.doc
│  │  │  Cadence及MEDICI使用说明目录.doc
│  │  │  comonent建立.doc
│  │  │  DIVA中寄生元器件提取语句介绍:.doc
│  │  │  Diva验证工具使用说明:.doc
│  │  │  PreBeCe4.3.4_lect.tar.Z
│  │  │  PreBeCe_4.3.4_lab.tar.Z
│  │  │  第一章 CdsSpice的使用说明.doc
│  │  │  第三章 Diva验证工具的使用说明.doc
│  │  │  第二章 Virtuoso Editing.doc
│  │  │  第五章 MEDICI 的使用简介.doc
│  │  │  第六章 附录.doc
│  │  │  第四章 Verilog 的使用方法.doc
│  │  │ 
│  │  ├─Dracula_4.2
│  │  ├─ICcae_4.3.3
│  │  ├─LE_432
│  │  └─Verilog_2.2
│  │         
│  └─cadence版图设计
│      │  acronym.txt
│      │ 
│      ├─ambit
│      │      Cadence_Ambit_user_guide.pdf
│      │      navigates.pdf
│      │     
│      ├─analogartist
│      │  │  Affirma  Analog Circuit Design Environment User Guider.pdf
│      │  │  Affirma Spectre Circuit Simulator User Guide.pdf
│      │  │  Cadence AMS Simulator User Guide.pdf
│      │  │  spice_2k07.pdf
│      │  │  正文.doc
│      │  │ 
│      │  └─microwave
│      │          Affirma RF Simulator User Guide.pdf
│      │          Cadence Microwave Simulation Help.pdf
│      │         
│      ├─dft
│      │      dft2k.pdf
│      │     
│      ├─general
│      │      Cadence 使用参考手册(中文概述).pdf
│      │      cadence1.doc
│      │      Cadence及MEDICI使用说明目录.doc
│      │      comonent建立.doc
│      │      DIVA中寄生元器件提取语句介绍:.doc
│      │      Diva验证工具使用说明:.doc
│      │      Post Layout simulation.doc
│      │      第一章 CdsSpice的使用说明.doc
│      │      第三章 Diva验证工具的使用说明.doc
│      │      第二章 Virtuoso Editing.doc
│      │      第五章 MEDICI 的使用简介.doc
│      │      第六章 附录.doc
│      │      第四章 Verilog 的使用方法.doc
│      │     
│      ├─libManager
│      │      Cadence Library Manager User Guider.pdf
│      │     
│      ├─pcb
│      │  │  CADENCE PSD14入门教程.pdf
│      │  │  high_speed pcb board design and analysis(cadence).ppt
│      │  │  Modernizing the Board Station PCB Layout Environment.ppt
│      │  │ 
│      │  └─spectra(自动布线器)
│      │          spectra简介.pdf
│      │         
│      ├─verilog_xl
│      │      Verilog-XL Reference.pdf
│      │      verilog.doc
│      │     
│      ├─其他
│      │  │  0 SpectreRF_Yvonne.ppt
│      │  │  AMS_VCD_DS_2001cat.ppt
│      │  │  ASSURA rcx extraction  solution.ppt
│      │  │  assura(for mixed  signal).ppt
│      │  │  Cadence and the Future of EDA.ppt
│      │  │  Cadence’s Solution for High-Speed Design.ppt
│      │  │  Combined_DS2001.ppt
│      │  │  DS2001 roadshow.ppt
│      │  │  DS2001-DP portion.ppt
│      │  │  ds2001-simulation final.ppt
│      │  │  DS2001_SPR_Track_022601.ppt
│      │  │  Envisia Datapath Synthesis Option.ppt
│      │  │  Hardware Software Co-Design A Case Study of Next Generation Single Chip PDA.ppt
│      │  │  iPSD Design Environments.ppt
│      │  │  LEMDAC2000.final[1].ppt
│      │  │  McLellan.ppt
│      │  │  Mixed Verilog VHDL simulation with NC(verilog)-Sim.ppt
│      │  │  NC_Sign Off.ppt
│      │  │  Platform Based Design An Overview and Some Questions.ppt
│      │  │  Q90MinPreso-Final.ppt
│      │  │  The Cadence Wireless Solution.ppt
│      │  │  VCD_ppt_DesignSol_03_14_01.ppt
│      │  │  WirelessSolution_roadshow_AsiaPac.ppt
│      │  │ 
│      │  └─cds配置
│      │                         
│      ├─格式
│      │      gdsii.pdf
│      │     
│      ├─版图设计
│      │      cell design tutorial.pdf
│      │      layout tutorial.doc
│      │      Post Layout simulation.doc
│      │      Virtuoso a Custom Designer (VCD) Product No 3700.ppt
│      │      Virtuoso Layout Accelerator User Guide.pdf
│      │      Virtuoso Layout Editor User Guide.pdf
│      │      Virtuoso Layout Synthesizer User Guide.pdf
│      │      Virtuoso Schematic Composer User Guide.pdf
│      │     
│      ├─版图验证
│      │      ASSURA PHYSICAL VERIFICATION & EXTRACTION SOLUTION.ppt
│      │      drac verification training manule.pdf
│      │      Hardware-assisted Verification Solutions.ppt
│      │      Verification Solutions Simulation through Emulation.ppt
│      │     
│      └─自动布局布线
│              Envisia Silicon Ensemble  Place-and-Route Reference.pdf
│              Synthesis, Place & Route.ppt
│             
├─Java
│  │  EJB3.0开发平台配置.ppt
│  │  J2ME & Game.pdf
│  │  Premier.Press,.J2ME.Game.Programming.(2004).LiB.chm
│  │  Prentice Hall - Enterprise j2Me - 企业级J2ME开发Developing Mobile Java Applications(By Laxxuss).chm
│  │  [A075]EJB3.0开发平台配置.wrf
│  │  浪曦J2EE强化培训视频.rar
│  │ 
│  ├─testejb1
│  │                 
│  ├─WebWork.Spring.Hibernate整合开发网络书城
│  │     
│  ├─孙鑫J2EE
│  │  ├─Hibernate
│  │  │     
│  │  ├─PowerDesigner视频
│  │  │     
│  │  ├─Spring
│  │  │     
│  │  └─Struts视频
│  │         
│  ├─设计模式
│  │      代理模式.rar
│  │      代理模式之动态代理.rar
│  │      单态模式和简单工厂模式.rar
│  │      工厂方法模式.rar
│  │      建造模式.rar
│  │      抽象工厂模式.rar
│  │      策略模式.rar
│  │      门面模式.rar
│  │     
│  └─进销存管理系统
│          ch01.pdf
│          第01讲 前言.exe
│          第02讲 系统概述.exe
│          第03讲 数据库设计.exe
│          第04讲 主界面设计.exe
│          第05讲 编写公共类.exe
│          第06讲 基础信息模块设计.exe
│          第07讲 进货管理模块设计.exe
│          第08讲 查询统计模块设计.avi
│          第09讲 库存管理模块设计.exe
│          第10讲 系统打包.exe
│         
├─LabView
│  │  CPUBBS论坛开发板教程2006_04_27_13.39.pdf
│  │  labviewapplicationbuilder[1].pdf
│  │  labview生成exe.pdf
│  │  LabVIEW程序设计与应用.rar
│  │  LV中文教程.pdf
│  │  基于声卡的频谱分析仪.rar
│  │  第二版修订版LABVIEW+单片机学习套件.rar
│  │ 
│  ├─51单片机与LV的通信
│  │         
│  ├─6hours
│  │      Convert C to F (Ex1).vi
│  │      Convert C to F (Ex2).vi
│  │      Convert C to F (Ex4).vi
│  │      Instructor Notes.doc
│  │      LabVIEWIntroduction-SixHour.ppt
│  │      Multiplot Graph (Ex5).vi
│  │      Square Root (Ex6).vi
│  │      State Machine 1 (Ex7).vi
│  │      Temperature Logger (Ex4).vi
│  │      Temperature Monitor (Ex3).vi
│  │      Thermometer(Ex4).vi
│  │      Thermometer-DAQ (Ex2).vi
│  │     
│  ├─NI VISAUSB
│  │      cpubbs_ni_visa_en.pdf
│  │      NI VISAUSB.pdf
│  │      Using NI-VISA 3_0 to Control Your USB Device - Tutorial - Instrument Drivers.mht
│  │     
│  ├─强人用LabVIEW写的象棋程序
│  │             
│  └─NI USB-6251的相关资料
│      │  6251.pdf
│      │  M_Series_Doc_CD.zip
│      │ 
│      └─M_Series_Doc_CD
│              NI_622x_Specifications.pdf
│              NI_625x_Specifications.pdf
│             
├─Linux
│  │  2.6 kernel on s3c2410 and at91rm9200.pdf
│  │  2007从Windows转向Linux基础教程.CHM
│  │  directfb-intro.pdf
│  │  ELinuxDevApp.pdf
│  │  embeded-linux-dev-kf701.pdf
│  │  Linux 内核0.11 详细注释.pdf
│  │  Linux.黑客大曝光:Linux安全机密与解决方案.pdf
│  │  linux_menu.pdf
│  │  linux中小企业服务器配置方案_.chm
│  │  linux入门-针对于linux菜鸟的书籍.chm
│  │  Linux内核完全注释.pdf
│  │  Linux内核结构详解教程.pdf
│  │  linux内核编程.doc
│  │  linux命令大全.chm
│  │  Linux实用学习教程(第一版).chm
│  │  Linux实用学习教程(第一版).pdf
│  │  rmf_developer_1.0_en.pdf
│  │  s3c2410linux文档 非常好!.pdf
│  │  using_reconstructor_2.0_en.pdf
│  │  关于arm linux的启动过程.txt
│  │  命令速查.chm
│  │  鸟哥的Linux私房菜#linuxeden#.pdf
│  │ 
│  ├─GNUmake_v3.80-zh_CN_html
│  │         
│  ├─LINUX and UNIX Shell
│  │      LINUX and UNIX Shell.pdf
│  │     
│  ├─Linux内核情景分析
│  │      Linux内核情景分析(上).pdf
│  │      Linux内核情景分析(下).pdf
│  │     
│  ├─ucLinux
│  │      uClinux内核编译视频教程.avi
│  │      uclinux内核配置傻瓜教程.pdf
│  │      uclinux核心手册-中文.chm
│  │      uclinux核心手册-中文.rar
│  │      基于ARM的嵌入式uClinux系统设计与Web服务器应用的实现.NH
│  │      深入uclinux嵌入式操作系统.ppt
│  │      第7章 嵌入式uClinux及应用开发.doc
│  │     
│  └─嵌入式Linux应用程序开发详解
│          llxx6.pdf
│          目录.pdf
│          第一章-LinuxBasic.pdf
│          第七章LinuxApp07-ProcessControlling.pdf
│          第三章-LinuxApp03-C.pdf
│          第九章-LinuxApp09-Multithreading.pdf
│          第二章t-LinuxApp02-LinuxCommand.pdf
│          第五章-LinuxApp05-Environment.pdf
│          第八章LinuxApp08-InterprocessCommunication.pdf
│          第六章 文件IO编程.pdf
│          第十一章 嵌入式Linux设备驱动开发.pdf
│          第十二章 QT图形编程.pdf
│          第十章 嵌入式Linux网络编程.pdf
│          第四章-LinuxApp04-EmbededSystem.pdf
│         
├─Matlab
│  │  10GUI菜单制作,加Active控件,放MTV .wmv
│  │  1创建一个简单GUI .wmv
│  │  2按钮的使用,图片读取 高分辨率.wmv
│  │  3radiobutton, switch 语句, 图像边缘 .wmv
│  │  4创建学生成绩查询系统的界面 .wmv
│  │  5学生成绩查询系统的功能实现 .wmv
│  │  6读取Excel 文件.wmv
│  │  7读取文件各种错误的处理方式 .wmv
│  │  8Matlab GUI的一些优化,进度条的使用 .wmv
│  │  9Matlab 程序跟java, vb, c++,C#混合编程 .wmv
│  │ 
│  └─GUI制作中文资料
│         
├─MCU
│  │  C题  基于自动寻迹的智能公交车系统.doc
│  │  MCS-51汇编语言指令集.pdf
│  │  MCU_C.pdf
│  │  PSoC MCU实时操作系统.pdf
│  │  rtx51小型实时操作系统详解.pdf
│  │  《Keil Software –Cx51 编译器用户手册 中文完整版》(403页).pdf
│  │  单片机应用编程技巧100问.pdf
│  │  多功能步进马达驱动器_PSoC.pdf
│  │  红外遥控六足爬虫机器人设计.doc
│  │  虚拟串口.pdf
│  │  触摸屏在S3C2410上的应用实例.pdf
│  │ 
│  ├─44b0开发板原理图
│  │     
│  ├─8x8LED汉字显示
│  │     
│  ├─How to design a CapSense Project Using PSoC Designer5.0(PSoC 赛普拉斯芯片)
│  │         
│  ├─Proteus下运行的Arm Ucos
│  │                     
│  ├─Proteus论文大全
│  │      PROTEUS_51单片机的电路仿真.pdf
│  │      Proteus与Keil整合构建单片机虚拟实验室.pdf
│  │      Proteus与Ultra_Edit、Keil的联合使用.pdf
│  │      uC-GUI在MCS51系列单片机系统上移植的仿真实现.pdf
│  │      利用PROTEUS_VSM建立单片机虚拟实验室.pdf
│  │      单片机虚拟实验室的建立.pdf
│  │      支持单片机仿真的软件Proteus——基本功能篇.pdf
│  │      支持单片机仿真的软件Proteus(使用方法篇).pdf
│  │     
│  ├─PSoC Designer101
│  │         
│  ├─uCOS-II到Keil C51小模式下的移植
│  │  │  readme.txt
│  │  │  ucOS_II移植到Keilc51小模式下简介.pdf
│  │  │ 
│  │  └─uc_51_small
│  │             
│  ├─uC_OS-II GUI
│  │             
│  ├─VB VC 通过 LPT 和PROTEUS 混合编程仿真的例子
│  │  │  ComenzandoLPT.pdf
│  │  │ 
│  │  ├─Librerias para el sistema
│  │  │     
│  │  ├─Librerias Proteus
│  │  │         
│  │  ├─prueba tutorial
│  │  │         
│  │  ├─Simulacion
│  │         
│  ├─一步一步教你51_PC串口通信
│  │  │ 
│  │  ├─串口调试助手V2.2
│  │  │     
│  │  └─虚拟串口链接软件
│  │         
│  ├─单片机应用技术大全(.pdg文档 近900页)
│  │     
│  ├─单片机智能产品c语言设计实例详解
│  │             
│  ├─单片机读写U盘方案-SL811HST开发套件
│  │      RS232接口的U盘读写器接口说明.pdf
│  │      应用指南.pdf
│  │      用户接口函数说明.pdf
│  │     
│  ├─单片机软件开发代码大全
│  │     
│  ├─各种液晶屏驱动程序
│  │  │  12232液晶显示程序在sed1520.rar
│  │  │  122x32液晶显示程序,显示图形及汉字.rar
│  │  │  12864液晶驱动.rar
│  │  │  128x64液晶显示例程.rar
│  │  │  1602LCM液晶显示屏的驱动函数和实例.rar
│  │  │  16x2lcm液晶_c51.rar
│  │  │  51控制1602液晶的原理图和程序.rar
│  │  │  AT89C51与字符型液晶模块16x2联接的C语言程序.rar
│  │  │  HD61202控制器的128X64的液晶驱动包12864.rar
│  │  │  LCD320.rar
│  │  │  lcd44780.rar
│  │  │  LCM046笔段式液晶.zip
│  │  │  LYM1602C4.rar
│  │  │  液晶驱动程序LCD20040411.rar
│  │  │     
│  │  └─AT89C51与字符型液晶模块16x2联接的C语言程序
│  │         
│  ├─大屏幕仿真
│  │         
│  ├─播放音乐
│  │         
│  ├─播音乐
│  │     
│  ├─腾龙仿真资料(SoftICE 相关)
│  │     
│  └─超级终端
│             
├─SDL OpenGL
│  │  3DCatalogViewer-2.0-win32.rar
│  │  glew-1.5.0-win32.zip
│  │  GLsdk.rar
│  │  glu32.zip
│  │  MesaDemos-7.0.3.zip
│  │  MesaLib-7.0.3.zip
│  │  SDL-devel-1.2.13-VC8.zip
│  │  SDL_image-devel-1.2.6-VC8.zip
│  │  SDL_MPlayer.c
│  │ 
│  ├─3D游戏编程代码(N多的例子)
│  │             
│  ├─GLsdk
│  │             
│  ├─OpenGL
│  │  │  glsl_quickref.pdf
│  │  │  Liao_OpenGL_Book.chm
│  │  │  NeHeTutorials_A4_Book.pdf
│  │  │  OpenGL Programming Guide.pdf
│  │  │  Programming Linux Games.pdf
│  │  │ 
│  │  ├─OpenGL Red Book
│  │  │     
│  │  └─OpenGL_参考手册
│  │         
│  ├─Samples
│  │         
│  └─SDL
│      │  SDL API.chm
│      │ 
│      └─游戏入门教程(C++&SDL)(N多个E文pdf文档 + 代码-code目录)
│          │ 
│          └─code
│                 
├─Tex
│      ctex 安装与使用.pdf
│      LaTeX_Learning_XueDingYu.pdf
│     
├─uC_OS
│      uCOS-II_chinesebook.CHM
│      uCOS51移植心得.pdf
│      ucos_ii_avr_gcc.rar
│      μCOS-Ⅱ Mega128 源代码.rar
│     
├─UML
│      Enterprise Architect_UML.ppt
│      MagicDraw技术概述.ppt
│      MagicDraw技术概述2.ppt
│      PowerBuilder.9.0.与系统开发.pdf
│      PowerDesign.chm
│      PowerDesigner使用教程.chm
│      umltools2007.pdf
│      UML面向对象建模技术01.csf
│     
├─VxWorks
│  │  tornado22.pdf
│  │  Tornado和VxWorks嵌入式实时操作系统及其开发环境.pdf
│  │  VMWare上安装VxWorks操作系统.doc
│  │  VxWoks_bsp.avi
│  │  VxWorks基本概念及常见问题.doc
│  │  VxWorks讲座(中文版).ppt
│  │  在虚拟机vmware上安装vxworks完全指南.doc
│  │  如何制作Vxworks-BSP操作实例录像.rar
│  │  嵌入式实时操作系统VxWorks及其开发环境Tornado.rar
│  │ 
│  └─vxWorks 论文
│          Vx Works实时内核调度的研究分析.pdf
│          Vx Works环境下IP-CATV网关驱动程序的设计与实现.pdf
│          Vx Works环境下串口驱动程序设计.pdf
│          VxWorks下图形用户界面的开发.pdf
│          VxWorks下基于BSD4.4规范的网络程序设计.pdf
│          VxWorks下基于多任务的网络通讯.pdf
│          VxWorks与SNMP代理的开发.pdf
│          VxWorks串行设备驱动模式及其实现.pdf
│          VxWorks压缩技术.pdf
│          VxWorks在实时遥测数据处理系统中的应用.pdf
│          VxWorks在高速数字电台互联网中的应用.pdf
│          VxWorks操作系统中对PCI总线驱动程序的设计与实现.pdf
│          VxWorks操作系统及实时多任务程序设计.pdf
│          VxWorks操作系统在多功能相控阵雷达中的应用.pdf
│          VxWorks操作系统板级支持包的设计与实现.pdf
│          VxWorks环境下BAN交换机驱动程序的设计与实现.pdf
│          VxWorks环境下串口驱动程序设计.pdf
│         
├─图形图像学
│      opencv-source-code.chm
│      OpenCV_ObjectDetection_HowTo.pdf
│      OReilly-LearningOpenCV.pdf
│     
├─电子书
│  │  RS485通讯的理论和实践.pdf
│  │  The7thDay.chm
│  │  [撬动地球的Google].The.Google.Story.2006.Scan.pdf
│  │  世界文学名著妙语大全.pdf
│  │  告诉你怎样学完电子技术的文档.pdf
│  │  国内外比较好的电子网站.doc
│  │  嵌入式系统开发圣经 .pdf
│  │  志摩的诗.pdf
│  │  文艺复兴时期的外国美术.ppt
│  │  美丽元素古典音乐资料.pdf
│  │  读大学究竟读什么.chm
│  │  读者精选文摘_收藏版.pdf
│  │  雪莱抒情诗选.pdf
│  │ 
│  ├─时间简史——从大爆炸到黑洞
│  │     
│  ├─时间简史续编
│  │     
│  └─程序员06(1到12期全)
│         
├─电路设计
│  │  Cadence SPB 15.7安装详解.pdf
│  │  LIN-PCB_LAYOUT-REPORT_-0612.pdf
│  │  PCBTerms(线 路 板 术 语 手 册).CHM
│  │  PCB封装大全.pdf
│  │  PCB拼板尺寸设计介绍.ppt
│  │  PCB设计与技巧(SUNPLUS提供).pdf
│  │  PCB设计讲义.pdf
│  │  Shanghai_Bell_PCB设计规范.pdf
│  │  三星sgh-s508电路原理图.pdf
│  │  中兴通讯EDA工具手册.pdf
│  │  华为 硬件工程师手册.pdf
│  │  华为PCB布线规范.pdf
│  │  当前主流PCB SI工具的特点.doc
│  │  放大电路图集.pdf
│  │ 
│  ├─Altium Designer
│  │  │  AD6 安装认证升级及精减.doc
│  │  │  AltiumDesigner.pdf
│  │  │  Altium_Designer_6_初学教程1.pdf
│  │  │ 
│  │  ├─1_AD6 集成库创建相关文档
│  │  │      1 AD6 创建器件集成化库.pdf
│  │  │      2 AD6 添加查询集成库.pdf
│  │  │      3 AD6 中从99se现有PCB中创建集成库.pdf
│  │  │      4 智能创建原理图的符号库.pdf
│  │  │      5 AD6 库文件转换 成99se的格式.pdf
│  │  │      6 AD6 中定制公司的元器件材料表(BOM).pdf
│  │  │      7 AD6 如何将你的设计关联到EPR系统.pdf
│  │  │      集成库系统介绍.pdf
│  │  │     
│  │  ├─2_AD6 原理图设计文档
│  │  │      1 AD6 SCH使用教程.pdf
│  │  │      2 AD6 SCH高级设定.pdf
│  │  │      3 AD6 原理图模板创建.pdf
│  │  │      4 AD6 中多通道设计及原理图更新到PCB设计.pdf
│  │  │      5 编辑多个对象_批量编辑.pdf
│  │  │      AD6中加载网表生成PCB.pdf
│  │  │     
│  │  ├─3_AD6 混合信号仿真文档
│  │  │      1 AD6 混合信号电路仿真介绍.pdf
│  │  │      AD6 中进行混合信号仿真过程.pdf
│  │  │     
│  │  ├─4_AD6 PCB CAM设计文档
│  │  │      1 AD6 PCB使用学习教程.pdf
│  │  │      10 AD6 中在PCB上写汉字.pdf
│  │  │      2 AD6 PCB高级设定.pdf
│  │  │      3 AD6 中FPGA设计与PCB引脚优化实例.pdf
│  │  │      3 AD6 输出Gerber文件设置.pdf
│  │  │      4 AD6 多层设计的板卡优势.pdf
│  │  │      5 AD6 中进行差分信号布线.pdf
│  │  │      6 如何在PCB下设定不同的铺铜区域安全间距及切铜.pdf
│  │  │      7 AD6 铺铜连接及方形焊盘支持.pdf
│  │  │      8 AD6 中进行电源层分割设计.pdf
│  │  │      9 PCB板形和图纸设定.pdf
│  │  │      AD6 中快速全局修改功能.pdf
│  │  │      AD6 中快速设计一块PCB板.pdf
│  │  │      AD6 中铺铜(多边形)管理系统.pdf
│  │  │      CAMtastic学习教程.pdf
│  │  │      PCB制造相关技术.chm
│  │  │      PCB加工工艺.chm
│  │  │     
│  │  ├─5_AD6 信号完整性分析文档
│  │  │      1 AD6 保证信号的完整性.pdf
│  │  │      2 AD6 中进行信号完整性分析实例.pdf
│  │  │      AD6 具有信号完整性的设计.pdf
│  │  │      IBIS 模型介绍及如何产生IBIS 模型.pdf
│  │  │      ibis模型文件介绍.pdf
│  │  │      IBIS理解说明.pdf
│  │  │      传输线基础.pdf
│  │  │      使用IBIS模型进行时序仿真.pdf
│  │  │      基于信号完整性分析的高速数字PCB 的设计方法SI PCB.pdf
│  │  │      确保信号完整性的电路板设计准则.mht
│  │  │      阻抗计算说明.pdf
│  │  │      高速PCB经验与技巧.pdf
│  │  │      高速电路PCB板级设计技巧.pdf
│  │  │     
│  │  ├─6_AD6 FPGA设计文档
│  │  │  │  1 Nexar将加速基于FPGA的嵌入式设计.pdf
│  │  │  │  2 Nexar入门教程.pdf
│  │  │  │  3 AD6 中FPGA设计与PCB引脚优化实例.pdf
│  │  │  │  AD6 中FPGA设计综合下载.pdf
│  │  │  │  AD6 中VHDL设计及创建Testbench参考.pdf
│  │  │  │  AD6 中进行FPGA设计与仿真.pdf
│  │  │  │  AD6 中进行FPGA设计及综合下载.pdf
│  │  │  │  Processor Core 应用指南.pdf
│  │  │  │ 
│  │  │  ├─《Altium Designer 6.0电路设计实用教程》部分实例源文件
│  │  │  │  └─《Altium Designer 6.0电路设计实用教程》部分实例源文件
│  │  │  │      ├─第2篇
│  │  │  │      │  ├─电脑麦克风电路
│  │  │  │      │  │         
│  │  │  │      │  ├─网卡电路
│  │  │  │      │  │         
│  │  │  │      │  └─音量控制电路
│  │  │  │      │         
│  │  │  │      └─第3篇
│  │  │  │          ├─实训3
│  │  │  │          │      LM1875.SchLib
│  │  │  │          │     
│  │  │  │          ├─实训4
│  │  │  │          │      单级放大电路.SchDoc
│  │  │  │          │     
│  │  │  │          ├─实训5
│  │  │  │          │      原理图文件模板.SchDoc
│  │  │  │          │     
│  │  │  │          ├─实训7
│  │  │  │          │  │  PCB设计实训.PrjPcb
│  │  │  │          │  │  PCB设计实训.PrjPcbStructure
│  │  │  │          │  │  PCB设计实训(原理图).SchDoc
│  │  │  │          │  │ 
│  │  │  │          │  └─Project Outputs for PCB设计实训
│  │  │  │          │          PCB设计实训(原理图).NET
│  │  │  │          │          Status Report.Txt
│  │  │  │          │         
│  │  │  │          └─实训8
│  │  │  │                  单级放大电路仿真.nsx
│  │  │  │                  单级放大电路仿真.SchDoc
│  │  │  │                  单级放大电路仿真.sdf
│  │  │  │                 
│  │  │  ├─基于AD6的VHDL语言CPLDFPGA设计快速上手
│  │  │  │  │  Constraint1.Constraint
│  │  │  │  │  Constraint2.Constraint
│  │  │  │  │  FPGA_Project2.PrjFpg
│  │  │  │  │  FPGA_Project2.PrjFpgStructure
│  │  │  │  │  Schlib1.SchLib
│  │  │  │  │  Schlib2.SchLib
│  │  │  │  │  Sheet2.SchDoc
│  │  │  │  │  VHDL1.Vhd
│  │  │  │  │  基于AD6的VHDL语言CPLDFPGA设计快速上手.pdf
│  │  │  │  │  基于AD6的VHDL语言设计快速上手.doc
│  │  │  │  │     
│  │  │  │  └─ProjectOutputs
│  │  │  │                 
│  │  │  └─基于AD6的原理图FPGA设计快速上手
│  │  │                     
│  │  ├─7_AD6 文件兼容性及导入导出文档
│  │  │      1 AD6 license单机版认证.pdf
│  │  │      3 AD6 与 99se 导入导出.pdf
│  │  │      5 AD6 管理模式.pdf
│  │  │      6 AD6 安装认证升级及精减.pdf
│  │  │      7 AD6中加载网表生成PCB.pdf
│  │  │      AD6 下生成PRO-E可以打开的3D文件.pdf
│  │  │      AD6 与99se版本的性能比较.pdf
│  │  │      AD6 中怎么把导入powerPCB文档.pdf
│  │  │      AD6 和 其他CAD工具比较.pdf
│  │  │      AD6 新增功能简介.pdf
│  │  │      AD6 相对于99se改进.pdf
│  │  │      AD6帮助文档目录.pdf
│  │  │     
│  │  ├─8_高速设计教程及PCB设计规范
│  │  │      1 高速布线分析.pdf
│  │  │      AD6系统培训教程.pdf
│  │  │      layout中电源和地的处理.pdf
│  │  │      PCB的布局.pdf
│  │  │      PCB设计基础教程.exe
│  │  │      PCB设计规范.pdf
│  │  │      Protel 2004实用教程 原理图与PCB设计 298页.pdf
│  │  │      Protel DXP 教程 376页.pdf
│  │  │      Prote高频布线技巧.pdf
│  │  │      使用IBIS模型进行时序仿真.pdf
│  │  │      基于信号完整性分析的高速数字PCB 的设计方法SI PCB.pdf
│  │  │      射频电路板设计技巧.pdf
│  │  │      芯片知识介绍.pdf
│  │  │      高速PCB设计中的串扰分析与控制1.pdf
│  │  │      高速PCB设计指南之一.pdf
│  │  │      高速PCB设计指南之三.pdf
│  │  │      高速PCB设计指南之二.pdf
│  │  │      高速PCB设计指南之四.pdf
│  │  │      高速数字电路设计.pdf
│  │  │      高速板设计技术ALL.pdf
│  │  │      高速电路板的设计方法.pdf
│  │  │      高速电路板设计技术.pdf
│  │  │     
│  │  ├─9_99SE DXP 2004 相关文档
│  │  │      AD6中与99Se操作上的主要区别.pdf
│  │  │      DXP 从Protel 99SE传递设计.pdf
│  │  │      DXP 体验新一代的板级设计.pdf
│  │  │      DXP 创建元件.pdf
│  │  │      DXP 定制元件报告.pdf
│  │  │      Protel DXP中的多通道设计.pdf
│  │  │      Protel Schematic 99 电路设计快易通.pdf
│  │  │      Protel2004 与99se版本的性能比较.pdf
│  │  │      Protel2004 功能整体介绍.pdf
│  │  │      PROTEL2004 新特性及设计规范.pdf
│  │  │      Protel99 SE Gerber File 输出说明.pdf
│  │  │      protel99se 元件名中英文对照表.pdf
│  │  │      Protel99SE精彩教程.chm
│  │  │      protel99中文教程.pdf
│  │  │     
│  │  └─PCB_Tutorial(繁体中文,网页swf视频教程)
│  │             
│  ├─Cadence Allegro
│  │  │  Allegro Book I.pdf
│  │  │  Allegro Book II.pdf
│  │  │  Allegro.pdf
│  │  │  Allegro15.X培训教材.pdf
│  │  │  Allegro中常用快捷键说明.pdf
│  │  │ 
│  │  └─ALLEGRO封装库
│  │                 
│  ├─mp3电路(pdf文件)
│  │     
│  ├─PADS(自己加了部分书签)
│  │      PADS2007_教程之PADS LAYOUT.pdf
│  │      PADS2007_教程之PADS LOGIC.pdf
│  │      PADS2007_教程之PADS ROUTER.pdf
│  │     
│  ├─Protel
│  │  ├─PCB入门(200多张投影片)很棒的
│  │  │     
│  │  ├─PROTEL99SE学习资料(特性手册 5篇doc文档)
│  │  │     
│  │  ├─PROTEL技术大全.doc
│  │  │     
│  │  ├─PROTEL设计技巧.doc
│  │  │     
│  │  ├─改进的仿真板
│  │  │     
│  │  └─献给使用PROTEL的朋友(不知道什么玩意)
│  │      │  INT815.PCB
│  │             
│  └─PSoC用户模块数据手册(中文pdf文档,N多个)
│         
├─网络
│      eMule协议指南.pdf
│      eMule协议规范.pdf
│      ppp.doc
│      PPPOE xy.doc
│      PPPoE协议及客户端软件实现方法的研究.pdf
│      基于Linux内核模式的PPPoE应用研究.pdf
│      基于以太网层PPPoe协议流程分析与研究.pdf
│     
├─芯片资料
│  │  CY8C29866_DS.pdf
│  │  MT6205B .pdf
│  │  nRF2401.pdf
│  │  psoc_r__mixed_signal_array_technical_reference_manual__trm__14.pdf
│  │  silicon_errata_for_cy8c29xxx_13.pdf
│  │  SPI_nRF2401.pdf
│  │  tm1616.pdf
│  │ 
│  ├─isp1581
│  │         
│  ├─PSoC
│  │  ├─BLDC Motor Control based on PSoC_Chinese On-demand module
│  │  │         
│  │  ├─Step Motor Control Based on PSoC Chinese On-demand module
│  │  │         
│  │  └─电容式触摸实践经验介绍
│  │             
│  ├─S3C2443X
│  │  │  um_s3c2443x_rev12.pdf
│  │  │ 
│  │  ├─05_Other
│  │  │  │  2443_Routing Guide.pdf
│  │  │  │  S3C2443X IR Reflow Profile.pdf
│  │  │  │  S3C2443_Power_061226_400M.pdf
│  │  │  │  S3C2443_Power_061226_533M.pdf
│  │  │  │ 
│  │  │  └─s3c2443_ibis_model
│  │  │          s3c2443_IBIS.ibs
│  │  │         
│  │  └─s3c2443_schematic
│  │          SMDK2443_Base_Board_Schematic_Rev0.2_070209.pdf
│  │          SMDK2443_CPU_Board_Schematic_Rev0.2_070209.pdf
│  │         
│  ├─S3C6400
│  │      S3C6400_BASE_REV0.pdf
│  │      S3C6400_CPU_TYPE1_REV0.pdf
│  │     
│  ├─USB芯片资料及开发工具
│  │  │  EVK9602 Schematic.pdf
│  │  │  HC05JB4GRS.pdf
│  │  │  Hub2526.pcb
│  │  │  HUB_2526.Sch
│  │  │  MC141555.pdf
│  │  │  readme.html
│  │  │  USBN9602 评估板使用手册.pdf
│  │  │  USBN9602.pdf
│  │  │ 
│  │  ├─hugehard usb
│  │  │      usb share papers.zip
│  │  │     
│  │  ├─Other
│  │  │     
│  │  ├─USBtools
│  │  │  │  about usb.txt
│  │  │  │  bwqlinstl.exe
│  │  │  │  DDStutorial.ZIP
│  │  │  │  s4_5hw1.ppt
│  │  │  │  TUSB2140B.pdf
│  │  │  │  usb share papers.zip
│  │  │  │  usb11.pdf
│  │  │  │  usb11cb.pdf
│  │  │  │  usb9_one.htm
│  │  │  │  usbcomp.exe
│  │  │  │ 
│  │  │  └─USBSS
│  │  │         
│  │  └─WiseUSB
│  │         
│  └─无线对讲机方案
│             
└─驱动开发
    │  DDKReferenceCN.chm
    │  linux 设备驱动第三版本.pdf
    │  UART串口驱动开发文档.pdf
    │  Windows Driver Model(WDM)-中文.chm
    │ 
    ├─Linux设备驱动(第三版)ebook+所以例子源代码
    │  └─LinuxDeviceDrivers3
    │          LinuxDeviceDrivers3.chm
    │         
    └─Usb_Drivers
        │  drivers_list.doc
        │ 
        ├─CardBUS
        │                 
        ├─FireWire_1394
        ├─HOT_SWAP
        ├─HUB
        ├─MANUAL
        │             
        ├─PCMCIA
        │                 
        ├─SCSI
        ├─TV_USB
        │             
        ├─USB_1.1
        │                 
        └─USB_2.0

  • 4
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 11
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值