ZYNQ流水灯实验(FPGA控制)

1.创建新工程,一路next
在这里插入图片描述
2.选择对应型号板子
在这里插入图片描述
3.增加资源,创建.v文件,这里命名为led.v
在这里插入图片描述
4.定义模块,用到时钟输入和led输出
在这里插入图片描述
5.编写verilog代码
在这里插入图片描述

'timescale 1ns/1ps
module led(
    input sys_clk,		 //开发板输入时钟,50MHz
    output reg[1:0] led 		//输出led,用于控制开发板上两个led
    );
    reg[31:0] timer_cnt;     //定义一个32为定时器
    /*LED控制*/
    always@(posedge sys_clk)		//输入时钟的上升沿检测
begin
    if(timer_cnt >=32'd49_999_999)    	//开发板使用的晶振为 50MHz,1 秒计数
    begin 
    led<=~led; 		//led反转
    timer_cnt <=32'd0;		//计数器到达1s,计数器清零
    end
    else
    begin
    led<=led;		//led信号保持
    timer_cnt<=timer_cnt+32'd1;		//计数器加1
    end
 end
endmodule

6.运行
在这里插入图片描述
7.端口配置。查看原理图,配置相应端口
在这里插入图片描述
在这里插入图片描述
8.保存,生成约束文件,生成比特文件
在这里插入图片描述
在这里插入图片描述
9.板子上电,烧录程序
在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值