自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 收藏
  • 关注

原创 FPGA 电路开发入门实验

实验1:项目创建、编译和下载 任务:用1个拨码开关控制所有的LED灯亮灭 实验原理图: 拨码开关和LED灯,和FPGA管脚编号的对应关系: 实验结果:通过控制拨码开关SW0,当SW0为高电平时,LED灯全亮,当SW0为低电平时,LED灯全灭,如下图所示: 实验2:FPGA译码器组合逻辑 任务1:放置2个2-4译码器模块,则总共有2组SW,每组2个,2组LED,每组4个,每组SW分别控制其对应...

2018-11-30 19:15:15 1227

原创 EDA高速回路设计作业2

实验1: 做一个4选1的mux,并且进行波形仿真 和2选1的mux对比,观察资源消耗的变化

2018-11-11 16:43:02 486

原创 EDA高速回路设计作业1

实验1:拼接4-16译码器 要求: 1、 用2片3-8译码器拼接成4-16译码器 2、仿真验证电路的正确性 3、注意观察输出信号的毛刺(竞争冒险) 实验步骤: 1、创建一个名称为4-16的工程文件; 2、在工程文件下,新建一个“Block Diagram/Schematic”文件,并画出4-16译码器的原理图,如下图所示: 3、对该原理图进行仿真验证; 4、仿真成功后,新建一个“Vector W...

2018-11-10 21:28:48 336

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除