自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

原创 西南交大EDA实验——Sequence Detector

西南交大EDA课程,吴新春老师课程实验——Sequence Detector。

2023-04-15 22:48:03 613 1

原创 数电实验6:可控分频器设计

sel = 0 ,输出时钟频率为345Hz时,计数器从0到144926循环计数,并且在0 ~ 40579内,clk_out保持高电平,剩下的时间保持低电平;sel = 1,输出时钟频率为12345Hz时,计数器从0到4049循环计数,并且在0 ~ 1133内,clk_out保持高电平,剩下时间保持低电平。在更换频率时(sel从0变为1),计数器计数可能会大于CNT_CLK2(4049),需要将计数器清零,因此我们计数器清零的条件是 CNT >= CNT_CLK2。,占空比为28%,则高电平时间为T。

2023-03-30 19:55:11 3525

原创 数电实验4:彩灯控制器设计

西南交大数电实验————《数字电路与计算机组成原理》

2023-03-30 16:35:44 3203

原创 西南交大EDA课内实验——TIMER

西安交大EDA课程,吴新春老师课内实验——TIMER

2023-03-24 09:27:24 552 3

原创 数电实验3:从电路到代码+结构化设计

西南交通大学数电实验——数字电路与计算机组成原理

2023-03-19 11:25:38 1614

原创 51单片机:Proteus仿真——用LCD1602显示ADC0834获得的数据

51单片机:Proteus仿真——用LCD1602显示ADC0834获得的数据

2023-03-19 10:21:45 1475 10

HDG12864驱动代码

HDG12864驱动代码

2023-04-03

HDG12864F1数据手册

详细的HDG12864F1数据手册,不过是全英文的,需要的请自取!

2023-04-03

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除