某重工企业笔试题目合集(附答案)

由于涉及到机密问题,就不指明是什么公司了,总之很大的了,看看吧,挺基础也挺实用的

 

 1、 .h头文件中的 #ifndef/#define/#endif 的作用?

 

答:防止该头文件被重复引用。 

2、 #define DOUBLE(x) x+x

int i = 5*DOUBLE(5);

i 的值为      


答:为30

3、 设某32位系统下,C++程序,有以下说明和定义:

typedef union {int i; int k[5]; char c;} DATE;
struct data { char cat; DATE cow; int dog;} too;

则语句 printf("%d",sizeof(too)); 的执行结果是:______

 

答:为28

4、 某些场合中需要用到死循环,这两个死循环 for(;;) 与 while(1) 哪个代码更高效(编译之后的代码更简短)?

 

答:for(;;) 

5、 高度为8的平衡二叉树,至少有______个节点?

 

答:54个 

6、 某32位系统下,C++程序,请计算sizeof 的值

char str[] = "www.ibegroup.com" ;
char *p &
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
襄阳江山重工是一家专注于FPGA行业的企业,其官网上的FPGA工程师笔试主要是为了筛选出具有相关技能和知识的人才。FPGA工程师是负责设计和开发可编程逻辑电路的专业人员。 在这次笔试中,可能会包括以下几个方面的内容: 1. FPGA基础知识:笔试可能包括FPGA的定义、工作原理、架构和常用的FPGA芯片等基础知识的考察。学员需要了解FPGA的特性和发展趋势,以及FPGA在不同领域的应用。 2. Verilog/VHDL编程技能:Verilog和VHDL是FPGA设计中常用的硬件描述语言。笔试可能会涉及到对Verilog/VHDL语法的理解和编程实践题。学员需要熟悉Verilog/VHDL的语法规范,并能够根据题要求编写相应的代码。 3. FPGA设计与调试能力:FPGA工程师需要具备良好的逻辑思维和问题解决能力。笔试中可能会出现一些FPGA设计和调试的题,例如设计一个特定的逻辑电路或排查FPGA工程中的故障等。学员需要能够独立思考并解决实际问题。 4. 数字电路与信号处理基础:FPGA工程师的工作与数字电路和信号处理相关,因此对数字电路和信号处理的基础知识的考察也是重要的。学员需要了解数字电路的基本概念、逻辑门电路、时序电路以及常见的信号处理算法等。 总体来说,襄阳江山重工官网FPGA工程师笔试旨在评估申请人的FPGA知识、编程能力和解决实际问题的能力。希望考生们能够充分准备,充实自己的专业知识,以期在这次笔试中取得好成绩。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值