verilog定点小数乘除

文章详细描述了在Verilog语言中如何进行浮点数运算,包括取整数和小数部分,以及八位和四位整数与小数的乘法和除法实例,展示了实际结果与编程计算的对应关系。
摘要由CSDN通过智能技术生成

a=12.35,b=10.8,取四位整数,四位小数,a=8'hc5,b=8'hac;则a*b=c实际值133.38;

在verilog中定义reg [15:0] c;八位整数八位小数,c=a*b,c=16‘h845c,化作二进制为1000010001011100,加上小数点为10000100.01011100=132.359375。

除法类似,取a=36.8=16h'24cc,八位整数八位小数,b=14.3=8h'e4,四位整数四位小数,a/b实际值为2.573426573;verilog计算为8‘h29,四位整数四位小数,二进制加小数点为10.1001转10进制为2.5625

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中,实现定点小数除法器可以使用固定小数点数值类型。固定小数点数值类型是一种将整数和小数部分分开存储的数据类型,其中小数点的位置是固定的。可以使用这种数据类型来表示和计算定点小数。 在Verilog中,可以使用`$signed`和`$unsigned`函数将有符号数和无符号数进行转换。这些函数可以将无符号数转换为有符号数,或将有符号数转换为无符号数。这样可以在有符号数和无符号数之间进行运算。 此外,还可以使用Verilog中的除法操作符`/`来执行除法运算。对于定点小数除法器,可以将被除数和除数都转换为有符号数,然后进行除法运算。最后,可以将结果转换回无符号数,以得到最终的除法结果。 需要注意的是,在Verilog中进行定点小数除法时,需要考虑到小数点的位置和精度。根据具体的需求,可能需要进行舍入或截断操作,以确保得到正确的结果。 参考资料: \[1\] https://blog.csdn.net/mdpsdhr/article/details/61922126 \[2\] http://www.cnblogs.com/woshitianma/archive/2013/05/19/3087258.html \[3\] https://blog.csdn.net/github_33678609/article/details/53465626 #### 引用[.reference_title] - *1* *2* *3* [FPGA中的小数计算(定点小数) 与 verilog/VHDL有符号数计算](https://blog.csdn.net/Setul/article/details/82690251)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值