关于VIVADO与VScode配置重启后,配置无效,需重新配置的问题

问题:我想将VIVADO与VScode配置关联起来,实现在VIVADO中点击“设计文件”可以直接打开VScode进行代码编写的效果。问题在于,每次在VIVADO中配置完之后,再重启VIVADO,之前的配置都恢复了默认值,又得我重新配置,比较麻烦。经过数次研究,终于找到了解决办法,可以一劳永逸。

步骤一:确保路径中不能有中文字符

首先你得找到vivado.xml这个文件,VIVADO相关配置都写在这个文件里面。我的文件路径为C:\Users\予仔\AppData\Roaming\Xilinx\Vivado\2018.3。右键选择记事本方式打开它,找到图1中显示的模块位置。

图1

可以看到我的路径当中有“予仔”这个中文字符,这正是问题所在。VIVADO每次在启动时,都会重新编译这个文件,一旦检测到中文字符,就会恢复默认设置,我们之前辛辛苦苦搞的配置就又付诸东流了。

所以,必须要想办法把这里的中文改为英文

具体的修改方法,可参考如下链接中的视频,其将C盘Users用户文件夹下的中文名文件夹改为英文名。

Windows修改C盘下的Users用户文件夹下的中文名文件夹_哔哩哔哩_bilibili

修改完之后,再打开这个文件,就可以看到原来的中文字符被改为英文的了,如图2所示。

图2

步骤二:VIVADO与VScode关联配置

打开“Text Editor”,在图3右下角的输入框中输入你的VScode安装路径,注意后面要加上[file name] -l[line number];点击“Apply”,再点击OK即可。注意,这里不要关闭VIVADO! 我们还需要进行其他操作。

步骤三:对vivado.xml文件进行“只读”设置

找到vivado.xml文件,在VIVADO没有被关闭的情况下,右键打开“属性”面板,将“只读”勾选上,再点击“确定”,这样我们所有的工作就完成了。你可以将VIVADO关闭或者重启电脑,再打开VIVADO,检验是否关联成功。

  • 9
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,我可以回答你的问题。下面是关于Python生成testbench和Vivado+VSCode配置的流程。 1. Python生成testbench Python可以用来生成testbench代码,这样可以减少手动编写testbench的工作量。下面是一个简单的Python代码生成testbench的示例: ```python import random # 生成 testbench 的代码 def generate_testbench(): # 打开输出文件 f = open("testbench.v", "w") # 生成模块名称 module_name = "testbench_" + str(random.randint(0, 100)) # 写入模块头 f.write("module " + module_name + ";\n") f.write("\n") # 写入输入和输出端口 f.write("reg a;\n") f.write("wire b;\n") f.write("\n") # 写入被测模块的实例化 f.write("DUT dut(\n") f.write("\t.a(a),\n") f.write("\t.b(b)\n") f.write(");\n") f.write("\n") # 写入测试代码 f.write("initial begin\n") f.write("\ta = 1'b0;\n") f.write("\t#10;\n") f.write("\ta = 1'b1;\n") f.write("\t#10;\n") f.write("end\n") f.write("\n") # 写入模块尾 f.write("endmodule\n") f.write("\n") # 关闭输出文件 f.close() ``` 上面的代码会生成一个包含一个简单testbench的Verilog文件。你可以根据要修改生成的testbench代码。 2. Vivado+VSCode配置流程 Vivado是一个非常流行的FPGA设计工具,VSCode是一个轻量级的代码编辑器,它们可以一起使用进行FPGA设计。 下面是Vivado+VSCode配置的流程: 1. 安装VivadoVSCode 2. 打开Vivado,创建一个新的项目 3. 在项目中创建一个新的Verilog模块 4. 在VSCode中打开这个模块的Verilog文件 5. 在VSCode中安装Verilog插件 6. 在VSCode配置Vivado的路径 7. 在VSCode配置Vivado的Tcl shell路径 8. 在VSCode配置模块的testbench文件路径 9. 在VSCode中运行testbench 详细的配置流程可以参考Vivado官方文档和VSCode官方文档,这里就不再赘述了。 希望这些信息能对你有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值