Keepalived实现master/master双主架构

环境准备 
10.0.0.8   K1主机
10.0.0.18 K2主机
10.0.0.7测试主机;

K1主机的keepalived.conf 文本设置

! Configuration File for keepalived
global_defs {
    notification_email {
        root@wangxiaochun.com
    }
    notification_email_from keepalived@localhost
    smtp_server 127.0.0.1
    smtp_connect_timeout 30
    router_id ka1.magedu.org
    vrrp_mcast_group4 224.0.100.100
}
vrrp_instance VI_1 {
    state MASTER #在另一个主机上为BACKUP
    interface eth0
    virtual_router_id 66 #每个vrrp_instance唯一
    priority 100 #在另一个主机上为80
    advert_int 1
    authentication {
        auth_type PASS
        auth_pass 12345678
    }
    virtual_ipaddress {
        10.0.0.10/24 dev eth0 label eth0:1 #指定vrrp_instance各自的VIP
    }
}
vrrp_instance VI_2 { #添加 VI_2 实例
    state BACKUP #在另一个主机上为MASTER
    interface eth0
    virtual_router_id 88 #每个vrrp_instance唯一
    priority 80 #在另一个主机上为100
    advert_int 1
    authentication {
        auth_type PASS
        auth_pass 12345678
    }
    virtual_ipaddress {
        10.0.0.20/24 dev eth0 label eth0:2 #指定vrrp_instance各自的VIP
    }
}

设置后启动服务 systemctl start keepalived.service

查看ip a
在这里插入图片描述

K2主机的文本设置

! Configuration File for keepalived
global_defs {
    notification_email {
        root@wangxiaochun.com
    }
    notification_email_from keepalived@localhost
    smtp_server 127.0.0.1
    smtp_connect_timeout 30
    router_id ka2.magedu.org
    vrrp_mcast_group4 224.0.100.100
}
vrrp_instance VI_1 {
    state BACKUP
    interface eth0
    virtual_router_id 66
    priority 80
    advert_int 1
    authentication {
        auth_type PASS
        auth_pass 12345678
    }
    virtual_ipaddress {
        10.0.0.10/24 dev eth0 label eth0:1
    }
}
vrrp_instance VI_2 {
    state MASTER 
    interface eth0
    virtual_router_id 88
    priority 100
    advert_int 1
    authentication {
        auth_type PASS
        auth_pass 12345678
    }
    virtual_ipaddress {
        10.0.0.20/24 dev eth0 label eth0:2
    }
}

设置后启动服务 systemctl restart keepalived.service

查看ip
在这里插入图片描述

在10.0.0.7主机上测试
在这里插入图片描述
设置完成。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值