AT32 MCU F415 OTG新功能使用

AT32F415 OTG新功能使用

示例目的
演示AT32F415C OTG 新功能的使用方法,415C新增两个功能,
功能1:在OTGFS Device模式下,VBUS(PA9)可通过配置释放给其它外设使用。
功能1使能方法:设置寄存器OTG_FS_GCCFG bit[21] 为1。
功能2:在OTG_FS Device模式下,端点3支持重配置,使能该功能之后,使用这个端点可同时支持端点3和端点4与主机通信,具体使用端点3还是端点4是通过USB设备的配置描述进行配置。
功能2使能方法:((uint32_t *)0x40021044) |= 0x80000000。该示例适用于雅特力科技的AT32F415系列中的C版本芯片。该芯片系列集成了ARM™ 32位Cortex®-M4内核。表2列出了所有的产品型号。表1列出了本文涉及产品的识别:
通过芯片封装上产品标识下的版本号
通过包装盒标签上的产品标识的最后1个数字
在这里插入图片描述

  1. 产品容量信息和器件唯一ID寄(UID基地址:0x1FFF F7E8)中的Bit[78:76] Mask_Version指明芯片的版本号,即通过地址0x1FFFF7F1的Bit[6:4]获知版本号,比如B版:0b001C版:0b010
  2. 关于在不同芯片封装上识别版本号,请参 2 产品上硅版本号标示。
    支持型号列表:
    AT32F415C
    主要使用外设列表:
    OTG
    快速使用方法
    硬件资源
  1. AT-START-F415 开发板
  2. USB接口
    软件资源
  3. SourceCode
     415_OTG_Device_NewFunction
    功能 1 示例使用(PA9 释放)
  4. 打开 project\at_start_f415\examples\usb_device\ vcp_Loopback_funtion1,编译后下载到实验板
  5. 实验使用AT-START-F415开发板
  6. 此例程展示了如何在AT32F415C使用OTG Device的时候释放VBUS(PA9)引脚给其它外设使用,此例程将PA9释放给USART使用。
  7. 示例代码部分展示,打开USB_VBUS_IGNORE宏定义:
    /**
  • @brief ignore vbus detection, only available in at32f415xx revision C.
  • at32f415xx revision B: (not support)
  • the vbus detection pin (pa9) can not be used for other functionality.
  • vbus pin must kept at VBUS or VDD.
  • at32f415xx revision C: (support)
  • ignore vbus detection, the internal vbus is always valid.
  • the vbus pin (pa9) can be used for other functionality
    */
    #define USB_VBUS_IGNORE
  1. 使用此例程时,将例程下载到目标板,然后将OTG接口与PC连接即可,USB连接上主机之后,可观察到USB和USART都能正常收发数据。
    功能 2 示例使用(端点 3,4 同时使用)
    1)打开 project\at_start_f415\examples\usb_device\ custom_hid_funtion2 源程序,编译后下载到实验板
    2)实验使用AT-START-F415开发板
    3)此例程展示了如何在AT32F415C使用端点号4的功能,使用 project\at_start_f415\examples\usb_device\ Artery_UsbHid_Demo_V1.0.1 上位机进行通信测试。
    4)如下是USB抓包结果:OUT使用端点3, IN使用端点4
    在这里插入图片描述

产品上硅版本号标示
下图显示了AT32F415芯片上硅版本标示的位置,标出的部分是R (Revision Code)的第1碼。
’C’表示該芯片硬件版本為硅版本C。
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值