并口定义及并口编程基础

 一、接口定义说明
电脑的并口,通常是25针. 接口定义如下:

方向
2,3,4,5,6,7,8,9
双向
1,14,16,17
输出
10,11,12,13,15
输入
18,19,20,21,22,23,24,25
功能说明:

二、并口编程基础
这些引脚,其实就是I/O口。而且是可以控制的,以软件的方式,非常简单。
在计算机内部,对应的寄存器如下:
0x378是8bit数据寄存器的地址,对应并口针的顺序是9,8,7,6,5,4,3,2
0x379是状态寄存器,11,10,12,13,15 - - -
0x37A是控制寄存器,- - - 并口中断允许位,17,16,14,1
也就是说PC的0x378可以用来做输入和输出,0x37A的低4位可以用来输出,0x379是输入。这样就可以用并口模拟很多时许,比如IIC、JTAG、SPI等等。
注意:上述的寄存器地址是以0x378为基地址的。如果基地址为0x3F0,那么他们的数据、状态、控制寄存器地址分别为0x3F0、0x3F1、0x3F2。(基地址缺省的是0x378,也可在BIOS里重新设置)

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,我可以为您提供一些关于用Verilog编程实现MGT接的指导。 MGT(Multi-Gigabit Transceiver)接是一种高速串行通信接,用于在FPGA和外部设备(如其他FPGA、ASIC、DSP等)之间传输高速数据。MGT接通常用于高速数据传输和通信领域,例如光纤通信、高速网络以及高速存储系统等。 下面是一些用Verilog编写MGT接的基本步骤: 1. 定义输入和输出端定义MGT接的输入和输出端,包括时钟、数据和控制信号等。 2. 设计时钟模块:设计一个时钟模块,用于生成MGT接所需的时钟信号。时钟信号的频率通常是接传输速率的几倍。 3. 设计发送模块:设计一个发送模块,用于将数据发送到MGT接。发送模块通常包括数据缓冲区、控制逻辑和串行转并行器等。 4. 设计接收模块:设计一个接收模块,用于从MGT接接收数据。接收模块通常包括数据缓冲区、控制逻辑和并行转串行器等。 5. 编写仿真测试台:使用Verilog编写仿真测试台,测试您的设计是否符合要求。在仿真测试台中,您可以模拟各种测试用例,以确保您的MGT接设计能够正常工作。 需要注意的是,MGT接的设计和实现需要一定的基础知识和经验。如果您是初学者,建议先学习Verilog语言的基本语法和数字电路设计的基本原理,以便更好地理解和编写MGT接。 希望这些信息对您有所帮助,如果您有更多的问题,请随时提出。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值