自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(51)
  • 收藏
  • 关注

转载 基于FPGA的DDR3多端口读写存储管理系统设计

基于FPGA的DDR3多端口读写存储管理系统设计•来源:电子技术设计•作者:佚名•2015年04月07日 15:52•8805次阅读0  机载视频图形显示系统主要实现2D图形的绘制,构成各种飞行参数画面,同时叠加实时的外景视频。由于FPGA具有强大逻辑资源、丰富IP核等优点,基于 FPGA的嵌入式系统架构是机载视频图形显示系统理想的架构选择。视频处理和图形生成需要存储海量数据...

2021-07-05 10:54:35 838

转载 基于Xilinx的XADC笔记

基于Xilinx的XADC笔记_星旭的博客-CSDN博客https://blog.csdn.net/Real003/article/details/88879625

2021-07-05 10:52:08 377

转载 PCIE2.0/PCIE3.0/PCIE4.0/PCIE5.0接口的带宽、速率计算

一、PCIE接口速率: 二、PCIE相关概念: 传输速率为每秒传输量GT/s,而不是每秒位数Gbps,因为传输量包括不提供额外吞吐量的开销位; 比如 PCIe 1.x和PCIe 2.x使用8b / 10b编码方案,导致占用了20% (= 2/10)的原始信道带宽。 GT/s —— Giga transation per second (千兆传输/秒),即每一秒内传输的次数。重点在于描述物理层通信协议的...

2021-01-25 11:09:46 2801

原创 zynq XADC读写寄存器配置

本博客,仅记录比较有用的关于zynq XADC调试的网址PS侧读取xadc中的温度电压值,需按照以下步骤进行配置:Temp:配置寄存器Xil_Out32(C_BASEADDR + 0x300 , 0x9100); //40Xil_Out32(C_BASEADDR + 0x304 , 0x3F0F);//41Xil_Out32(C_BASEADDR + 0x308 , 0x0400);//42Xil_Out32(C_BASEADDR + 0x320 , 0x100); //4.

2020-10-13 16:41:45 2391

转载 MYIR-ZYNQ7000系列-zturn教程(21):用开发板上xadc的Vp和Vn测量输入的电压

开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这里主要实现的功能是用xadc的Vp和Vn来测量我们输入到开发 板上的电压,如下图所示 工程链接:https://pan.baidu.com/s/1JFJOHf84dn6Yf2OWi3oYhw       提取码:54a6    在底板上就是这两个脚了 并且...

2020-10-13 16:31:04 1563

转载 玩转Zynq连载39——[ex58] 基于Zynq的XADC采集控制实例

玩转Zynq连载39——[ex58] 基于Zynq的XADC采集控制实例本帖最后由 ove学习使我快乐 于 2020-2-19 09:43 编辑1 功能概述Xilinx FPGA器件特有的XADC(Xilinx Analog-to-Digital Converter)模块创新性的将模拟信号处理混合到FPGA器件中,便于对板级模拟信号采集、处理以及对板级温度、电源电压的监控。XADC功能如图所示,内部有专门的温度传感器和供电传感器,用于监控FPGA器件本身的工作状态,也提供了1个独立的模拟电压输入通道

2020-10-13 16:30:41 1951

转载 GMII、SGMII和SerDes的区别和联系

GMII、SGMII和SerDes的区别和联系经过查询资料,加上自己的理解形成本文,如有错误,欢迎批评指正。图1SGMII的MAC侧和PHY侧刚看到上图时,感觉很奇怪,PCS为什么还存在于MAC中?GMII规范中PCS只存在于PHY中,见下图。图2 GMII在OSI模型中的位置实际上SGMII对应的MAC和PHY的划分是将SGMII接口断开,一端归为MAC,一端归为PHY;同样,GMII对应的MAC和PHY的划分是将GMII接口断开,一端归为MAC,一端归为PHY。下图是..

2020-09-24 14:18:58 2320 1

转载 GMII,RGMII,SGMII,TBI,RTBI接口信号及时序介绍

千兆以太网MII接口类型主要有GMII、RGMII、SGMII、TBI和RTBI 五种GMII接(如下图): 与MII接口相比,GMII的TX/RX数据宽度由4位变为8位,GMII接口中的控制信号如TX_ER、TX_EN、RX_ER、RX_DV、CRS和COL的作用同MII接口中的一样,发送参考时钟GTX_CLK和接收参考时钟RX_CLK的频率均为125MHz(1000Mbps/8=125MHz)。在这里有一点需要特别说明下,那就是发送参考时钟GTX_CLK,它和MII接口中的TX_CLK是不同的...

2020-09-24 14:05:41 2678

原创 DDR3不同型号不同位宽仿真记录

DDR3不同型号,不同位宽,仿真记录:芯片板卡ddr3型号是MT41J128M16XX-125,1)生成MIG时,选取MT41J128M16XX-125、位宽选用16位宽,上板验证,ddr3可以正常读写数据。2)生成MIG时,选取MT41J128M8XX-125、位宽选用8位宽,上板验证,ddr3不能正常通信。3)生成MIG时,选择MT41J64M16XX-125、根据MT41J128M16XX-125的资料,自定义DDR3型号,调整地址线位宽,位宽选用16位宽,5、40、35、13.75、

2020-09-01 11:58:35 659 1

转载 Xilinx 7系列FPGA XADC的使用

Xilinx 7系列FPGA XADC的使用 - XTWL TPCL的文章 - 知乎 https://zhuanlan.zhihu.com/p/44495333

2020-08-27 16:49:24 1567

转载 Xilinx 7系列FPGA 高速收发器GTX/GTH的一些基本概念

Xilinx 7系列FPGA 高速收发器GTX/GTH的一些基本概念 - XTWL TPCL的文章 - 知乎 https://zhuanlan.zhihu.com/p/46052855

2020-08-27 16:46:09 1059

转载 ZYNQ & AXI总线 & PS与PL内部通信(用户自定义IP)

ZYNQ 、AXI协议、PS与PL内部通信 三种AXI总线分别为:AXI4:(For high-performance memory-mapped requirements.)主要面向高性能地址映射通信的需求,是面向地址映射的接口,允许最大256轮的数据突发传输;AXI4-Lite:(For simple, low-throu...

2020-08-14 15:03:06 708

转载 GTHE3开发记录

GTHE3开发记录1 https://blog.csdn.net/ahy00/article/details/84231707GTHE3开发记录2 https://blog.csdn.net/ahy00/article/details/84248345GTHE3开发记录3 https://blog.csdn.net/ahy00/article/details/84332222...

2020-04-14 13:49:33 228

转载 DDR3芯片读写控制及调试总结 — Xilinx FPGA(MIS)

 目录                        &n...

2020-03-26 18:24:00 3605 1

转载 Xilinx VIVADO中DDR3 IP核的使用

纯属个人笔记:Xilinx VIVADO中DDR3 IP核的使用(1)https://blog.csdn.net/zhangningning1996/article/details/104546146Xilinx VIVADO中DDR3 IP核的使用(2)https://blog.csdn.net/zhangningning1996/article/details/104562722...

2020-03-26 09:27:55 2633

转载 第一篇:DDR3和mig的介绍

FPGA开源工作室将通过五篇文章来给大家讲解xilinx FPGA 使用mig IP对DDR3的读写控制,旨在让大家更快的学习和应用DDR3。本实验和工程基于Digilent的Arty Ar...

2020-03-21 10:19:45 1101

转载 第五篇:vivadon mig读写时序下板实现

FPGA开源工作室将通过五篇文章来给大家讲解xilinx FPGA 使用mig IP对DDR3的读写控制,旨在让大家更快的学习和应用DDR3。本实验和工程基于Digilent的Arty Ar...

2020-03-21 10:15:18 725

转载 第四篇:vivado mig IP的仿真

FPGA开源工作室将通过五篇文章来给大家讲解xilinx FPGA 使用mig IP对DDR3的读写控制,旨在让大家更快的学习和应用DDR3。本实验和工程基于Digilent的Arty Ar...

2020-03-21 10:14:26 937 1

转载 第三篇:mig IP用户读写时序

FPGA开源工作室将通过五篇文章来给大家讲解xilinx FPGA 使用mig IP对DDR3的读写控制,旨在让大家更快的学习和应用DDR3。本实验和工程基于Digilent的Arty Ar...

2020-03-21 10:13:31 668

转载 第二篇:mig IP的创建

FPGA开源工作室将通过五篇文章来给大家讲解xilinx FPGA 使用mig IP对DDR3的读写控制,旨在让大家更快的学习和应用DDR3。本实验和工程基于Digilent的Arty Ar...

2020-03-21 10:12:42 697

转载 基于MIG控制器的DDR3读写控制详解

基于MIG控制器的DDR3读写控制详解目的:详细...

2020-03-21 09:45:48 1948

转载 基于FPGA的DDR3多端口读写存储管理系统设计

基于FPGA的DDR3多端口读写存储管理系统设计文章出处:电子技术设计 发布时间: 2015/03/12 | 1747 次阅读每天新产品 时刻新体验专业薄膜开关打样工厂,12小时加急出...

2020-03-16 11:14:43 1028

转载 DDR3调试总结

DDR3调试总结本文为原创,转载请注明作者与出处...

2020-03-16 11:10:30 941

转载 硬盘LBA 和CHS的关系

本文参考了: http://www.baidu.com/link?url=0T4Mdb56lOZ7YnQ71heVJy0fjG2mEj5bpRfy0gog-52lTV5EIDJj89wXSLjAqUZipabRBuWv2Cci7NTg6YmaN_&wd=&eqid...

2020-03-10 13:37:30 565

转载 硬盘LBA 和CHS的关系(转)

磁头数 × 磁道(柱面)数 × 每道扇区数 × 每扇区字节数l         磁头(head)数:每个盘片一般有上下两面,分别对应1个磁头,共2个磁...

2020-03-10 13:33:19 1349

转载 RAID磁盘阵列中LUN的理解

a、lun的概念    lun的全称是logical unit number,也就是逻辑单元号。我们知道scsi总线上可挂接的设备数量是有限的,一般为6个或者15个,我们可以用target ID(也有称为scsi id的)来描述这些设备,设备只要一加...

2020-03-10 11:10:17 2313

转载 PDU(协议数据单元)

             协议数据单元PDU(Protocol Data Un...

2020-03-09 19:01:57 16360 2

转载 免费下载中国知网、万方学术论文的几种方法(福利合集)

在国内,中国知网收录了最多的期刊论文和硕博士论文。...

2020-03-09 13:23:34 12171 1

转载 (转)xilinx 高速收发器Serdes深入研究-Comma码

一、为什么要用Serdes传统的源同步传输,时钟和数据分离。在速率比较低时(<1000M),没有问题。 在速率越来越高时,这样会有问题 由于传输线的时延不一致和抖动存...

2020-03-09 11:03:27 742

转载 Xilinx-7Series-FPGA高速收发器使用学习—TX发送端介绍

每一个收发器拥有一个独立的发送端,发送端有PMA(Physical Media Attachment,物理媒介适配层)和PCS(PhysicalCoding Sublayer,物理编码子层)组成,其中PMA子层包含高速串并转换(Serdes)、预/后加重、接收均衡、时钟发生器及时...

2020-03-09 11:02:15 479

转载 SDU 和 PDU

                                  &...

2020-03-08 22:29:33 847

转载 单口 RAM、伪双口 RAM、真双口 RAM、单口 ROM、双口 ROM 到底有什么区别呢?

单口 RAM、伪双口 RAM、真双口 RAM、单口 ROM、双口 ROM 到底有什么区别呢?   打开 IP Catalog,搜索 Block Memory Generator,即可看到其 Memory Type 可分为 5 中,分别是单口 RAM(Single Port RAM)、伪双口 R...

2020-01-09 11:32:03 1923

转载 ISE ip核调用RAM 与 RAM模式的时序分析

ISE ip核调用RAM 与 RAM模式的时序分析   1. 配置IP核可以参考网上教程,有很多,这里不做赘述。注意的是本次使用的是单端口RAM, 在设置时,人为勾选了ena片选信号。  2. 关于配置RAM时的数据宽度和深度问题。   答: 数据宽度规定为8位, 数据深度本来定义18根地址总线[17:0],...

2020-01-09 11:12:53 908

转载 xilinx fpga中块ram的使用——简单双端口ram的使用

xilinx fpga中块ram的使用——简单双端口ram的使用 </h1> <div class="clear"></div> <div class="postBody"> 在简单双端口ram中最简单有9个端口:分...

2020-01-09 11:04:54 7351

转载 FC协议与iSCIS协议详解

1.SAN说明     SAN(Storage Area Network,存储局域网络)的诞生,使存储空间得到更加充分的利用以及安装和管理更加有效。SAN是一种将存储设备、连接设备和接口集成在一个高速网络中...

2020-01-07 15:26:21 1482

转载 Xilinx FPGA的多重配置功能(2)

转至 https://mp.weixin.qq.com/s?__biz=MzI4NjQz...

2019-12-12 13:54:14 619

转载 FPGA配置 - 基于SPI FLASH的FPGA多重配置(Xilinx)

原文地址:http://www.elecfans.com/emb/fpga/201401...

2019-12-12 09:11:32 1487

转载 【转载】PCIe扫盲系列博文连载目录篇(第四阶段)

<!--一个博主专栏付费入口结束--> <link rel="stylesheet" href="https://csdnimg.cn/release/phoenix/template/css/ck_htmledit_views-4a3473df85.css"> ...

2019-11-26 19:41:55 171

转载 转载 PCIe扫盲——一个Memory Read操作的例子

连载目录篇:http://blog.chinaaet.com/justlxy/p/5100053251 前面的一系列文章简要地介绍了PCIe总线的结构、事务层、数据链路层和物理层。下面我们用一个简单地的例子来回顾并总结一下。如下图所示,Requester的应用层(软件层)首先向其事务层发送如下信息:32位(或者64位)的Memory地址,事务类型(Transaction ...

2019-11-26 19:37:55 389

转载 vivado pcie DMA传输实战

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声...

2019-11-26 16:58:08 3071 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除