自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

原创 ImageNet2012数据集对验证集val按标签划分

ImageNet2012数据集对验证集val按标签划分

2022-08-01 17:01:06 774 1

原创 Systemverilog i--用法

`timescale 1ns/1nsmodule tb_led; integer array[10],sum,j; initial begin for(int i=0;i<10;i++) array[i] = i+1; sum = array[9]; j = 8; do sum=sum+array[j]; while(j--); $display("sum = %4d",sum); endendmodule仿真结果为: sum = 55而把程序中的 whil.

2022-01-20 14:59:24 435

原创 WARNING: [Labtools 27-3222] Mismatch between the design programmed into the device xc7k325t (JTAG de

不知道原因,不过FPGA断电重连就好了同理还有图像采集卡报错(Xcelera-CL_LX1_1) Error: Frame(s) Lost - Bandwidth is too low to sustain image(s) acquired.驱动的问题,卸载重装驱动或者重启电脑就有可能解决心累啊,怎么碰到的都是这种奇奇怪怪的bug...

2022-01-14 11:22:27 1805

原创 vivado例化多个iserdes IP核时遇到问题[Shape Builder 18-119]

使用vivado例化多个iserdes IP核时遇到以下问题[Shape Builder 18-119] Failed to create I/OLOGIC Route Through shape for instance u_data_get/inst_iserdes_28/inst/ibufds_clk_inst. Found overlapping instances within the shape: u_data_get/inst_iserdes_0/inst/ibufds_clk_inst

2022-01-07 15:59:04 3038

原创 Vivado Open IP Example Design报错解决办法

我在进行Open IP Example Design时遇到错误[12-172],大致内容是提示有个文件找不到,但是按照路径去找是可以发现文件的,那么究竟是什么原因呢?我去百度了一圈也没发现有同样问题的人,没办法自己解决吧。观察了一下,发现显示的路径不是全部路径,然后就找到了问题所在:路径中有文件夹的名称中有空格,删掉就好了。哈哈哈,真是个低级的错误,我还专门写了下来,不知道有没有人会和我犯同样错误~...

2021-12-15 20:48:15 4468 2

CEA 861.pdf

CEA 861.pdf

2024-07-16

IEC 60958-3-2021.pdf

IEC 60958-3-2021.pdf

2024-07-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除