宇视科技软件笔试

1.求1000!末尾0 的个数;并给出理由。如6!为720,末尾0的个数为1。


2. 小明和小强都是张老师的学生,张老师的生日是M月N日,2人都知道张老师的生日是下列10组中的一天, 张老师把M值告诉了小明,把N值告诉了小强, 张老师问他们知道他的生日是哪一天吗? 
 3月4日 3月5日 3月8日  
6月4日 6月7日  
9月1日 9月5日  
12月1日 12月2日 12月8日  


小明说:如果我不知道的话,小强肯定也不知道  
小强说:本来我也不知道,但是现在我知道了
小明说:哦,那我也知道了 
请根据以上对话推断出张老师的生日是哪一天


3.用C语言编写一个函数实现:向降序排列的单向链表中增加一个节点,使之依然保持降序排列。


4.用C语言编写一个函数,返回两个字符串的最大公串。例如,“adbccadebbca”和“edabccadece”,返回
“ccade”


5.从一个数据各不相同的大小为100000的数组中取出其中最小的100个数,并给出该实现的时间与空间复
杂度。请用C语言编程实现


6.下面的图像是需要进行车牌识别的一张图像。但该图像不够清晰,不利于车牌识别。请
问你有什么方法让图像变得清晰?在此基础上请在设计一个方案准确定位车牌区域。
  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
宇视科技FPGA笔试题是一项用于测评应聘者FPGA设计能力和知识的测试。在这个题目中,应聘者首先需要了解FPGA的基本概念和工作原理,同时熟悉宇视科技的产品和技术。 笔试题可能涉及以下几个方面: 1. FPGA基础知识:应聘者需要了解FPGA的构造和工作原理,包括FPGA的内部结构、可编程逻辑单元(PLD)和查找表(Look-up Table, LUT)的概念,以及时钟分配和布线等基本知识。 2. Verilog或VHDL编程:应聘者需要熟悉Verilog或VHDL这两种常用的硬件描述语言,熟悉这些语言的语法和特性,以及如何使用这些语言来实现FPGA设计。 3. 宇视科技产品和技术:宇视科技是一家专注于视觉技术和人工智能的公司,应聘者需要熟悉宇视科技的产品和技术。例如,宇视科技的产品可能涉及图像处理、物体识别和智能监控等方面。应聘者需要了解宇视科技的产品特点、应用场景,以及相关技术和算法。 4. 综合能力:此外,应聘者在完成笔试题时需要展现出综合能力,包括解决问题的思路和方法、实现和优化FPGA设计的能力,以及对特定应用场景的理解和思考能力。 总的来说,宇视科技FPGA笔试题旨在考察应聘者的FPGA设计及相关技能和对宇视科技产品和技术的了解程度。应聘者需要结合自己的知识和经验,全面回答题目,并展现出解决问题的能力和对FPGA设计的理解和应用能力。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值