类的封装和继承,包

本文介绍了类和结构体的主要区别,类的三要素——封装、继承和多态,并详细阐述了封装的概念,通过C++和SystemVerilog的例子说明如何实现封装和继承。同时提到了包的概念在SystemVerilog中的应用。
摘要由CSDN通过智能技术生成

类和结构体的区别

类和模块的区别

类的三要素

1.封装,2继承,3多态

类包括数据和方法(fuction)

对象:类在例化后的实例

句柄:只想对象的指针

定义类,packet(类的名称)

class packet;

        integer command;

        function new();

                command=IDLE;

        endfunction

endclass

packet p=new;

类的封装

在面向对象编程中,封装是指将数据和方法封装在一个类中,对外提供公共接口进行访问。通过封装,可以隐藏实现细节,保护数据安全,提高程序的可维护性和复用性。

在C++中,可以通过访问控制权限来实现类的封装。C++中的访问控制权限有三种:public、protected和private。其中,public成员可以被任意函数访问;protected成员可以在类内部和派生类中访问;private成员只能在类内部访问,不能被其他函数或派生类访问。

下面是一个简单的示例代码,展示了如何使用public、protected和private关键字实现类的封装:

class Person {
public: // 公共成员
    void setName(string name) {
        _name = name;
    }
    string getName() const {
        return _name;
    }

protected: // 保护成员
    int _age;
    void setAge(int age) {
        _age = age;
    }

private: // 私有成员
    string _name;
};

class Student : public Person {
public:
    void setScore(double score) {
        _score = score;
    }
    double getScore() const {
        return _score;
    }

    void setAge(int age) {
        // 调用基类的setAge方法
        Person::setAge(age);
        _isAdult = (age >= 18);
    }

private:
    double _score;
    bool _isAdult;
};

int main() {
    Student s;
    s.setName("Tom");
    s.setAge(20);
    s.setScore(90.0);

    cout << s.getName() << " is " << (s._isAdult ? "an adult" : "a minor") << ", score: " << s.getScore() << endl;
    // error: '_age' is a protected member of 'Person'
    // cout << s._age << endl;
    // error: '_name' is a private member of 'Person'
    // cout << s._name << endl;

    return 0;
}

在上面的示例代码中,Person类包含公共成员和私有成员,Student类继承自Person类,并添加了额外的成员。通过访问控制权限,Person类的实现细节被隐藏,只对外提供了公共接口。Student类覆盖了基类中的setAge方法,并在其中对基类成员进行了访问和修改。在main函数中,可以通过公共的接口访问和修改对象的状态,但不能直接访问基类的保护成员和私有成员。

总的来说,类的封装是面向对象编程的核心思想之一,可以通过访问控制权限来实现对类的封装。通过合理的设计和使用,可以提高程序的安全性、可读性和可维护性。

类的继承

在C++中,派生类可以通过继承基类的成员来扩展其功能,这种机制称为继承。对于sv类的继承,也是同样的原理。

在SystemVerilog中,可以使用extends关键字来实现继承。例如:

class base;
  // 基类定义
endclass

class derived extends base;
  // 派生类定义
endclass
 

在上面的例子中,derived类继承自base类。派生类可以访问基类的公有成员,但无法访问其私有成员。还可以通过重写或覆盖基类中定义的方法,来实现对基类中方法的修改或扩展。

需要注意的是,在SystemVerilog中,派生类只能继承一个基类,不支持多重继承。如果要实现多个基类的功能组合,可以使用接口(interface)来实现。

另外,在SystemVerilog中,类的继承类型有public、protected和private三种。默认情况下,如果不指定继承类型,则为public继承。三种不同的继承类型具有不同的属性限制和访问权限。

  • public:基类的public成员在派生类中仍为public访问权限;基类的protected成员在派生类中仍为protected访问权限。
  • protected:基类的public成员在派生类中变为protected访问权限;基类的protected成员在派生类中仍为protected访问权限。
  • private:基类的public和protected成员在派生类中变为private访问权限。

继承类型的指定方法如下所示:

class derived extends base::public;
  // public继承
endclass

class derived extends base::protected;
  // protected继承
endclass

class derived extends base::private;
  // private继承
endclass

总的来说,SystemVerilog中的类继承机制与C++类似,可以通过继承实现代码的复用和功能扩展。

package(包)

verilog里没有,sv里有

package pkg_a;

        class packet_a;

        endclass

        typedfe struct{

int data;

int command;}struct_a;

endpackage

package pkg_b;

class packet_b;

endclass

endpackage

module tb;

        class packet_tb;

        endclass

        initial begin

                       packet_tb ptb=new();

        end

endmodule

同名模块编译到不同

work library

packet_a;

packet_b;

pta

ptb

iniitial begin

::域索引变量

枚举类型

放个静态变量

display(“pkg_a::va=%0d,pkg_b::vb=”)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值