[防多开] data_seg 共享内存

背景

#pragma data_seg() 一般用在 dll 中,用来在不同进程间共享一段数据(两个进程对应不同的 exe ),如果是相同文件的多个进程间共享,就不需要 dll。

这里我们只做防多开功能,所以不需要 dll。

另外,这种防多开技术必须在程序关闭时将数据恢复,否则会出现逻辑错误。(恢复功能在代码中没有体现)。

代码

#include <iostream>
#include <Windows.h>
using namespace std;

#pragma data_seg("LYSM_shared")
INT instance_count = 0;
#pragma data_seg()
#pragma comment(linker, "/SECTION:LYSM_shared,RWS")

int main()
{
	
	instance_count++;
	cout << "instance counts : " << instance_count << endl;
	
	cout << "finished." << endl;
	getchar();
	return 0;
}

效果图

在这里插入图片描述


共享数据段在内存中的位置

在这里插入图片描述

因为它的本质是一个全局变量,所以一定是绿色的基地址。再者,因为它属于一个数据段,所以在 PE 的区段表里可以看到,数据的基地址就是该exe模块基地址(如果是dll 的话就是dll 的模块基地址)加上该区段的 virtual address。

验证方法

使用 ce 在第一个实例中搜索,依据打开关闭新的实例来筛选增加的值和减少的值,最后过滤出基地址。

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
讲下面代码分部分讲解//数码管显示 module seg_driver( input clk , input rst_n , input [31:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [31:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule
最新发布
06-09
好的,下面是代码的分步讲解: ``` module seg_driver( input clk, input rst_n, input [31:0] data, // 待显示的数据 output wire [7:0] sel, // 位选信号 output wire [7:0] seg // 段选信号 ); ``` 这是一个模块,包含输入时钟信号`clk`、复位信号`rst_n`和需要显示的32位数据`data`,以及输出8个位选信号`sel`和8个段选信号`seg`。 ``` reg [7:0] dig_sel; reg [7:0] dig_seg; ``` 这两行代码定义了两个寄存器`dig_sel`和`dig_seg`,用于存储位选信号和段选信号。这两个寄存器都是8位宽的。 ``` localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; ``` 这是一组参数定义,用于存储不同数字所对应的段选信号值。例如,`NUM_0`表示数字0对应的段选信号值为`8'hC0`,`NUM_1`表示数字1对应的段选信号值为`8'hF9`,以此类推。`LIT_ALL`表示所有数码管都亮,`BLC_ALL`表示所有数码管都灭。 ``` parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; ``` 这里定义了一个参数`CNT_REF`,表示20us的计数器计数到的值。同时,定义了一个10位宽的寄存器`cnt_20us`,用于计数20us的时间。 ``` always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end ``` 这是一个时钟触发器,用于计数20us的时间。当复位信号`rst_n`为低电平时,计数器`cnt_20us`被清零;否则,每次时钟上升沿到来时,计数器值加1。当计数器的值达到`CNT_REF-1`时,计数器被重新置零。 ``` always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end ``` 这个时钟触发器用于切换位选信号,使得不同数码管上的数字可以依次显示出来。当复位信号`rst_n`为低电平时,位选信号`dig_sel`被设置为`8'hfe`;否则,当计数器的值达到`CNT_REF-1`时,位选信号在不同数码管之间依次切换,以便将需要显示的数字依次显示在不同数码管上。 ``` always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end ``` 这个时钟触发器用于根据位选信号`sel`从待显示的数据`data`中取出需要显示的数字`data_tmp`。当复位信号`rst_n`为低电平时,数字`data_tmp`被设置为0;否则,根据位选信号`sel`从`data`变量中取出需要显示的数字,并存储到`data_tmp`变量中。如果位选信号不存在于给定的8个数位中,则`data_tmp`被设置为`4'hF`,表示不显示任何数字。 ``` always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end ``` 这个时钟触发器用于根据数字`data_tmp`选择对应的段选信号`dig_seg`,以便在数码管上显示出对应的数字。当复位信号`rst_n`为低电平时,段选信号`dig_seg`被设置为`BLC_ALL`,即所有数码管都灭;否则,根据数字`data_tmp`选择对应的段选信号,并将其存储到`dig_seg`变量中。如果`data_tmp`不存在于0~F之间,则不显示任何数字。 ``` assign sel = dig_sel; assign seg = dig_seg; ``` 这两行代码用于将位选信号`dig_sel`和段选信号`dig_seg`分别赋值给模块的输出端口`sel`和`seg`,以便在数码管上显示出对应的数字。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值