自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 收藏
  • 关注

原创 机器会思考吗?浅析ai智能体框架metagpt的思考机制

MetaGPT是一个开源的ai智能体框架,支持自定义单个、多个智能体,并且支持自定义SOP。官方文档中有提供多个例子供新手学习,感觉还是比较容易上手的。具体的也建议直接看文档和开源代码:官方中文文档:https://docs.deepwisdom.ai/main/zh/guide/get_started/introduction.htmlGithub仓库:https://github.com/geekan/MetaGPT这个演示视频展示了该框架的能力——视频链接。

2024-03-01 17:22:05 1147 1

原创 ai智能体如何实现函数调用?我的猜测

ai智能体,又名ai agent,是一种基于ai大模型推理能力,具有外部感知、工具使用、信息反馈的智能系统。自从2023年3月autoGPT发布以来,已经诞生了许多ai agent框架。他们大多遵循这个基本的结构:大部分框架基本都提供了让开发者自定义添加行为与函数调用的方法。openai在2023年8月份也新增了function calling的接口支持,方便开发者直接在调用openai api时传入可执行的函数列表描述。

2024-01-11 16:38:15 1295

原创 证书吊销列表(CRL)简单介绍与相关openssl C api功能测试

在近期的工作项目中涉及到了证书吊销列表(CRL)相关的一些知识,打算记录一下自己写的一个通过CRL验证自签名证书有效性的测试程序,并分享遇到的一些坑。

2023-05-13 21:58:20 1915 1

原创 Centos7 升级gcc版本并解决leveldb编译

Centos7环境上升级gcc版本并解决leveldb编译问题。

2022-10-11 19:09:06 1136

原创 Windows 10搜索框一直搜索/加载的解决方法

重装了win10系统后,发现搜索框输入完内容就一直在加载,什么东西也搜索不到。神奇的是切换到Administrator账户使用起来就没有问题。于是把自己账户权限改到最高,但问题并没有解决。百度给了各种方法,类似PowerShell重装cortana或者所有组件,更改服务设置等等,也还是没有效果。最后还是查了谷歌找到一个偏方:把Administrator路径下的这个文件夹:‘C:\Users\Administrator\AppData\Local\Packages\windows.immersivec

2021-09-05 01:50:37 2721

原创 Clixon源码编译及功能验证

文章目录1 前言2 参考资料3 从源码安装Clixon4 功能验证4.1 验证hello问题归纳1 前言Clixon is an automatic configuration manager where you generate interactive CLI, NETCONF, RESTCONF and embedded databases with transaction support from a YANG specification.本文主要是归纳了一下官方文档的流程,没有涉及更深的应用。

2021-04-05 17:20:03 1478 8

原创 FPGA二进制序列检测

目的设计一个二进制序列检测器,当检测到10110序列时,就输出1(一个时钟周期的脉冲),其它情况下输出0方法采用Moore状态机或Mealy状态机进行设计Moore状态机特性:输出仅与当前状态有关转换原理:简单说明一下,图中的Sx/0,比如说S1/0,意思是切换到S1状态时,输出0;箭头上的数字是指接收到该信号(1或0)时,从箭尾状态变为箭头所指状态。显然,输入10110序列,状态变化为:S0→S1→S2→S3→S4→S5,并且切换到S5时输出1verilog程序实现module

2020-06-16 17:28:14 1271

原创 FPGA产生三路120度相位差正弦波

概述直接数字频率合成(DDS)的基本原理是利用采样定理,通过查表法产生波形,其基本结构如下图所示,其中fc为数字逻辑电路的时钟频率。量化正弦波第一步,我们先需要将正弦波进行量化,才能将数值输送到RAM中保存这里给出利用C语言进行量化的程序,修改正弦波表达式即可得到对应正弦波//在正弦波一个周期内取样512点,每点8bit量化,存储在memory[512]中 #include<math.h> #include<stdio.h> #define Pi

2020-06-16 17:27:22 2345 2

原创 FPGA产生m序列及其应用

伪随机序列又称为伪随机码,是一组人工生成的周期序列。它不仅具有随机序列的一些统计特性和高斯白噪声所有的良好的自相关特征,而且具有某种确定的编码规则,同时又便于重复产生和处理,因而在通信领域应用广泛。通常产生的伪随机序列电路可以用线性反馈移位寄存器,其产生的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器序列,简称m序列。伪随机序列发生器m序列在保密通信中的应用,如下表所示:简单地说,就是将信号源发出的信息经过m序列的异或操作处理加密,达到接收方之前,再用加密时使用的那串m序列再次异或处理

2020-06-16 17:25:56 3872 1

原创 使用神经网络预测光伏电站功率

接了个机器学习的课题。初次尝试,花了一些时间,现在做完了打算简单总结一下。先说一下做的课题,内容主要是使用神经网络模型预测光伏电站发电功率。输入数据是采用多个特征的多维度输入,之前看到很多人是做单个特征预测,也就是直接用先前的序列预测本身的下一个序列,这种就不太一样了。用到的神经网络模型:BPNN、RNN、LSTM、Bi-LSTM。以下给出的代码既不完整也不一定按顺序,仅供参考。本篇主要是提供简单的思路。

2020-06-16 17:10:34 19072 44

原创 DES加密算法原理简介

从自己整的密码学笔记里单独摘录出来的。一知半解,有误欢迎提醒。3.1 数据加密标准DESDES算法将明文按64位分组,使用的密钥长度为64位(实际参与加密的有56位)。密钥的第8、16、24、…、64位作为校验位,不参与加密DES的加密思想是对密钥和明文都进行一系列复杂变换处理,中间分为多个小段单独异或加密,最后再合成变换得到最终密文。符合所谓的混淆原则和扩散原则。混淆原则:...

2020-03-16 22:19:21 7779

原创 Python微软官方教程总结

笔记基于微软官方python教程,B站视频地址相关代码及资料,Github地址文章目录1 基础1.1 字符串1.1.1 赋值1.1.2 拼接1.1.3 特定格式1.1.4 简化拼接1.1.5 数据类型转换1.2 时间1.2.1 获取系统当前时间1.2.2 获取之前的时间1.2.3 仅保留年、月、日输出1.2.4 固定格式1.3 条件语句1.3.1 if1.3.2 elif1.3.3 or/i...

2020-02-21 19:59:52 473

原创 2020年厦门大学903数据结构B考研真题回忆

选择题4个顶点8条边的有向图用十字链表表示需要的存储空间(指针8个字节,结点编码2个字节)AOV网和AOE网的概念完全二叉树某条件下的叶子结点数填空题某语句在一个双重循环下的运行次数二叉树表示的算术表达式,求后缀表达式和该表达式的值给一个二叉树的先序和后序,求中序,给了两空,有两种情况简答题考了多重链表,要求写出多重链表的结构体,并说明带头结点的单链表与这种每条链表都不带头结点的...

2019-12-22 19:52:46 1578 5

原创 hexo部署成功仍未更新或无法打开的情况

这次真是遇到了非常罕见的情况,在百度和谷歌找了几遍才看到有几个相同问题的,然而没有看到具体解决方法。背景博客框架:hexo代码托管:腾讯云coding域名服务: 阿里云博客地址问题描述hexo搭建的博客,已正常运行两个月,前两天更新一篇文章后,等了几个小时都没部署到网页。之前并未改动过代码(包括_config.yml)。bash里反馈的信息显示一切正常。(hexo g ...

2019-11-28 19:38:05 5522 2

原创 hexo yelee主题添加字数统计和阅读时长

1.安装 hexo-wordcount在博客目录下打开Git Bash Here 输入命令npm i –save hexo-wordcount2.文件配置在theme\yilia\layout\_partial\post下创建word.ejs文件:<div style="margin-top:10px 0;margin-bottom:20px;"> <spa...

2019-10-03 23:28:18 549

原创 FPGA测量两路同频信号的相位差

概述测量两路信号相位差有两种思路:第一种是在verilog程序中直接让两路信号经过异或门输出,测量该输出信号的占空比,其占空比乘以360度就得到相位差。该方法的详细内容参考该篇文章:FPGA测两路信号相位差第二种是在拥有测量时间差和测量信号频率的两个功能模块的基础上,利用基本公式得到相位差。下面简单介绍第二种方法。频率计频率计模块的原理如图所示:由此可以得到信号的...

2019-09-19 09:52:40 8972 11

原创 PAT甲级1008部分正确情况分析

题目:The highest building in our city has only one elevator. A request list is made up with N positive numbers. The numbers denote at which floors the elevator will stop, in specified order. It costs 6...

2019-07-26 21:14:46 207

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除