2024年Midjourney 手把手注册教程以及操作

一、Midjourney是什么

1.1Midjourney的介绍

MidJourney是一个基于Discord平台搭建的文本到图像的AI工具。仅需输入关键字,不到一分钟的时间就能使用AI算法生成相应的图片。该工具支持多种功能,包括风格迁移、自动绘画、分层编辑等。此外,你还可以选择不同画家的艺术风格,如安迪·华荷、达芬奇、达利和毕加索等。MidJourney还具备识别特定镜头或摄影术语的能力,为您提供更加个性化的图像生成体验。简单来说,只需输入文字,MidJourney就能以视觉效果生成相应的画面!

1.2Discord的介绍

讲到Midjourney(简称MJ),我们还要知道Discord是什么

想象一下,Discord就像是一个巨大而热闹的虚拟聚会场所,大家可以随时进入各种主题的聊天室,有趣的话题和互动不断。

在这个虚拟聚会场所中,有一个特别引人注目的角落,那就是MidJourney(MJ)。MJ就像是这场聚会的一位神奇画师,专门负责将我们的文字描述变成生动形象的图像。要进入MJ的画室,首先,我们需要在这个聚会场所注册一个账号,这就相当于获得了进入画室的通行证。

一旦踏入MJ的画室,我们可以简单地通过与它聊天,告诉它我们想要的画面。比如,你可以说:“嘿MJ,按照宫崎骏动画的风格创作一张展示猫的图片,每只猫都代表一个独特的性格和表情。”然后,在不到一分钟的时间里,MJ就会以其神奇的AI算法,将你的描述转化为一幅令人惊艳的图画,展现在聚会场所的大屏幕上。

这样的虚拟聚会场所让我们不仅能够畅所欲言,还能在其中通过MJ这个独特的功能,用文字创造出属于自己的视觉奇迹。整个过程既有趣又创新,让人仿佛置身于一个充满想象力和创造力的数字世界。

二、如何拥有一个Discord账号

2.1 登录 Discord

输入 Discord 网址 https://discord.com/login

如果没有账号要先进行注册:https://discord.com/register,这里我使用的是163邮箱

完成验证之后,Discord 会提示,在新的位置登录,需要去邮箱验证,Discord会给您的注册邮箱发一封邮件,提示您在新的位置登录,这时候验证一次就可以了

2.2 解决异地登录问题,去邮箱复制链接

打开邮箱,找到 Discord 发来的邮件,然后点击「Verify Login」按钮。

邮箱验证成功后会直接显示 Discord 的网页界面。

三、登录 Midjourney 进行订阅

3.1登录Midjourney

登录 Discord 成功后,就可以登录 Midjourney 进行订阅了。在浏览器的地址栏输入 https://www.midjourney.com/login/

点击登录,在弹出的 Discord 页面点击授权,就可以登录 Midjourney 了,官网页面如下

3.2申请虚拟信用卡

订阅Midjourney会员需要使用国外的信用卡,这里我们使用WildCard | 一分钟注册,轻松订阅海外软件服务申请一张海外虚拟信用卡(使用邀请码CHATGPT11有两美金优惠),完美解决没有国外信用卡或银行卡问题(ps这家公司是国内的,在使用过程中,需要使用支付宝进行实名认证,不必担心安全问题,随时可以提现,大家不用担心钱充进去就取不出来了,如果没消费成功,亲测可以秒提现到支付宝)

官网页面:

点击立即注册,根据官网提示一步步操作,即可完成虚拟信用卡的申请,接下来就可以继续进行Midjourney订阅啦~

3.3订阅Midjourney

进入官网页面,点击左边栏的 「Manage Sub」 ,即可选择套餐进行订阅

选择合适的套餐后,点击Subscribe

在弹出的绑定支付页面,依次输入卡号、有效月份/年份、CVC(即您的 CVV )、美国的账单地址及姓名便可成功绑定。

最后就可以成功订阅Midjourney啦

  • 32
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
ISE 14.7是Xilinx公司的一款FPGA设计软件,这里是ISE 14.7手把手使用教程: 1. 下载并安装ISE 14.7软件 首先需要在Xilinx公司的官网上下载ISE 14.7软件,并按照提示进行安装。安装完成后,可以在安装目录下找到ISE软件。 2. 创建一个新工程 打开ISE软件后,选择“File”菜单中的“New Project”选项,弹出“New Project Wizard”向导,按照提示进行设置,包括工程名称、项目目录、FPGA型号等。 3. 添加源文件 在新建工程后,需要添加设计文件。在ISE软件中,设计文件包括VHDL文件、Verilog文件、UCF文件等。可以使用ISE自带的编辑器进行编写,或者使用其他编辑器编写后再添加到ISE中。添加文件后,需要进行约束(Constraints)设置,包括时钟频率、时序等。 4. 进行综合、实现和下载 完成设计文件和约束设置后,需要进行综合和实现。综合是将设计文件转换成逻辑门电路,实现是将逻辑门电路映射到FPGA器件中。完成综合和实现后,可以生成比特流文件(Bitstream),用于下载到FPGA器件中。下载可以使用Xilinx公司提供的下载工具或者第三方下载工具。 5. 调试和优化 在下载完成后,需要进行调试和优化。可以使用ISE提供的仿真工具进行仿真,或者使用外部的仿真工具进行仿真。调试和优化包括时序约束调整、时钟域划分、资源占用优化等。 以上就是ISE 14.7手把手使用教程的基本步骤,希望能够帮助到你。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值