[VIM]用%帮助begin快速找到对应的end

本文介绍了如何在Vim中安装并配置matchit插件,以实现代码匹配功能。通过在.vimrc文件中添加'runtimemacros/matchit.vim'并设置b:match_words变量,可以轻松跳转代码中的配对结构,如if-endif、begin-end等。只需在命令模式下输入%,光标就能自动移到对应的结束标签处。此外,还提供了针对不同代码配对结构的设置示例。
摘要由CSDN通过智能技术生成

需要vim自带的但是不会自动安装的插件 - matchit

在.vimrc 文件中加入:

runtime macros/matchit.vim

然后设置你相对应的string:

let b:match_words='\<begin\>:\<end\>' 

重启vim.
使用时,在command模式下,光标停在begin处,press %, 帮你找到对应的end.

摘自不二鱼:如果想要配对 ifdef, endif, 等等其他的,把如下加入到.vimrc即可:

let b:match_words =
    \ '\<begin\>:\<end\>,' .
    \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' .
    \ '\<module\>:\<endmodule\>,' .
    \ '\<if\>:\<else if\>:\<else\>,' .
    \ '\<function\>:\<endfunction\>,' .
    \ '`ifdef\>:`else\>:`endif\>,' .
    \ '\<task\>:\<endtask\>,' .
    \ '\<program\>:\<endprogram\>,' .
    \ '\<specify\>:\<endspecify\>'
————————————————
版权声明:本文为CSDN博主「不二鱼技术分鱼」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/lucky09090827/article/details/121992179
  • 7
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值