SystemVerilog例子---traffic light

module traffic_light(
    output logic	green_light,
    				yellow_light,
    				red_light,
    input sensor,
    input [15:0] green_downcnt,
    input [15:0] yellow_downcnt,
    input clock,
    input resetN
    );
parameter 	R_BIT = 0,
			G_BIT = 1,
			Y_BIT = 2;
		
enum logic [2:0] {RED	= 3'd001<<R_BIT,
				 GREEN	= 3'b001<<G_BIT,
				 YELLOW = 3'b001<<Y_BIT} State, Next;
						
  @(posedge clock, negedge resetN)
	if(!resetN) State <= RED;
	else			State <= Next;
	
always_comb begin: set_next_state
	Next = State;
	unique case(1'b1)
		State[R_BIT]:	if(sensor)				Next = GREEN;
		State[G_BIT]:	if(green_downcnt == 0) 	Next = YELLOW;
		State[Y_BIT]:	if(yellow_downcnt == 0)	Next = RED;
	endcase
end: set_next_state

always_comb begin: set_output
	{green_light, yellow_light, red_light} = 3'b000;
	unique case(1'b1)
		State[R_BIT]:	red_light		= 1'b1;

		State[G_BIT]:	green_light		= 1'b1;
		State[Y_BIT]:  	yellow_light	= 1'b1;
	endcase
end: set_output

endmodule

  

posted on 2012-06-11 17:01  Neddy11 阅读( ...) 评论( ...) 编辑 收藏

转载于:https://www.cnblogs.com/Neddy/archive/2012/06/11/2545314.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值