光棍节程序员闯关秀之思考

见同学在玩,没事就开始搞

地址:

https://segmentfault.com/game/  


第一关:


不解释,你懂的全选就看见了,



第二关:


右键html源码不解释,不过注意

这个进入下一关不能点击的


第三关:

我想说的是从第三关到第五关对我来说都是用一种办法解决的,

第三关的时候我也迟疑了下,突然看到k的md5吗,然后赶紧第四关是不是4的md5呢,

然后把4   md5了一把然后放到地址栏k=...

结果就到了第四关,真的佩服我自己,然后第五关他说看规律,我看都没看的把5 的md5搞进去了,结果真到了第五关


第五关:

这个地方小卡了一会

我开始想是不是要解析呢,我草那就麻烦了,点了,我还没想玩这个还要写代码的说.

然后,胡乱点把它给下了下了,然后打开还是老样子的图片,

然后就想换换后缀,(这个主要在学校的时候看见我同学,总是把png的文件改为gif尽然没事,我总是很惊奇,

然后改为txt,打开发现自己有点傻,



二进制,你再改后缀他能变么?直接用编辑器打开图片就行了,还改后缀,,,,,这道题还有点意思.


第六关:


看到这个,马上就想到了解密,但是转念一想,我草,这是md5啊,你写代码解码出来,头发都白了,然后百度了,一把这个md5(ps:过去研究过md5,所以知道搜一下)

然后结果找到了,不用揭秘了.


看到就感慨了,如果这要我去破解,特么的死了也搞不出来吧.庆幸没有进入死胡同


第七关:


果断google之,发现被骗了.


后来直接用这个输入到url就过了,(真心觉得被骗了)


第八关:


看到第一眼把这个值搞到url中,发现走错门.确实没那么简单.

他说门没有,右键html源码


看到的第一眼,有想骂人的冲动,这是谁写的代码不用post提交,突然一想,哦,我是在过关,不是在调试别人的bug,

然后想,刚才我用这个get提交过了,不行的,那就换做post提交吧,

结果搞定.

第九关:


我操,这一关,可是占用的时间都等于我搞前面几关时间的二倍了,


首先,我看到的时候,想到,二进制,填空,填啥?肯定是0/1

如果有的是0,有的是1呢,我想着想着就傻了,那怎么算,感觉没那么复杂,然后

都填0,都填1,能有啥用,还是1/0串,又没有任何意义,


然后这个时候,在同学群里聊天,他说有网上有答案,我搜了下,

搞 到一个贴吧,没找到答案,但是有人说第九关,是ascii,相关的,

,我顿悟,哦,这是ascii 字符,转换的数,(突然想起来了大一的时候老师,叫我们ascii的时候了,那时候还经常看书后面的ascii对照表)

有点数都背会了,这难道要我去一个一个转?傻了,

写代码吧,

具体思路如下:

先转为十进制吧,然后再转为ascii字符看看,

然后得到的字符串,我一一眼就认出来,那是base64加密的东西,然后果断解密.

结果不是很乐观,解密的东西,我又不知道是啥,这部悲剧了,确实很悲剧,

解密后得到的二进制乱码,让我没了思路,这个僵持了10几分钟了,后来去搜索了一下,

发现有人第九题搞定了,才知道是要转为*.tar.gz (这个步骤我真的想不出来,不知道道理是很么,很奇怪,有知道的说下)

然后我也把我的base64加密码搞到 http://maclife.net/tools/base64/ 解密了下

按照别人说的,搞成文件后缀是.tar.gz

然后解压,得到图片.


ok,答案就在这里了,




真的很感慨第九关,我一直还是不知道,根据什么你们知道是要搞成tar.gz

知道的可以说下.



最后奉上最后一关写的代码,同时发现自己越来越垃圾了,写这个代码写到一半,无奈的打开了eclipse,哎,


package com.tester.util;

import java.io.IOException;

import com.bea.common.security.utils.encoders.BASE64Decoder;
/**
 * 
 * @author zhangll
 * @date 2012-11-11
 */
public class ascii {
	public static void main(String[] args) {
		String[] binaryStrs = { "01001000", "00110100", "01110011", "01001001", "01000001", "01000011", "01001010",
				"01001011", "01101110", "01101100", "01000001", "01000001", "01000001", "00101011", "00110001",
				"01011010", "01000010", "00110001", "01010010", "01010100", "01010011", "00110111", "01100011",
				"00101011", "01001001", "01010001", "01000111", "01101011", "01101001", "01000110", "01010001",
				"01010110", "01000101", "01001001", "01101101", "01000001", "01100111", "01001000", "01010010",
				"01000011", "01000100", "01010110", "01001011", "01101011", "01000110", "00110110", "01101011",
				"01000010", "01010001", "01110010", "01010111", "01000101", "01000101", "01000011", "01000011",
				"00110000", "01010001", "01000010", "01001011", "01110001", "01101111", "01101111", "01001011",
				"01001011", "01101001", "01101111", "01100111", "01010101", "01010001", "01010101", "01000010",
				"01000001", "01100111", "01010101", "01100111", "01010000", "01010011", "01000111", "00111000",
				"01010111", "01010101", "01001111", "01101100", "01100101", "01110101", "01100111", "01101001",
				"01001011", "01011000", "01000010", "01010110", "01110000", "01001001", "01101000", "01100001",
				"01110001", "01110001", "01001101", "01100111", "00110111", "01000001", "01010110", "01010100",
				"01110101", "00101111", "01100110", "00110011", "01001100", "01100101", "00101011", "01110110",
				"00111001", "00101111", "00110001", "01110000", "01110110", "01110110", "01100010", "01110100",
				"01011010", "01100011", "00101011", "01011001", "00110111", "01100101", "00110011", "00101011",
				"01111010", "01011010", "00101011", "00111001", "00111001", "00110101", "01110011", "01111001",
				"01011010", "01001100", "01000111", "01010010", "01101011", "01011010", "01011001", "00110101",
				"01100111", "01001101", "01100010", "00110111", "01110101", "01001101", "01110000", "00110101",
				"00101011", "00110111", "01110011", "01000011", "00101111", "01010011", "01100101", "01010100",
				"01101011", "00110101", "01001010", "01010001", "01010110", "01000110", "01100101", "01000111",
				"00110000", "01011000", "01101011", "01010110", "01011010", "01100001", "01100001", "00110010",
				"01011000", "01010001", "00110110", "01111010", "01100110", "01110010", "00110100", "01101011",
				"00111000", "01000001", "01100111", "01000111", "01011000", "01010010", "00111000", "01100111",
				"01110000", "01110001", "01101001", "01100111", "01110001", "01001011", "01101111", "01000111",
				"00110011", "01100011", "01000100", "01101100", "00110101", "01000010", "01010001", "01010111",
				"01000101", "01000011", "01100111", "01000011", "01011000", "00101011", "00110011", "01100011",
				"01000110", "01110100", "01000110", "01101011", "01000011", "01010011", "01000111", "01010001",
				"01001101", "01000101", "01010001", "01111010", "01000110", "01101011", "01111000", "01000100",
				"01101001", "01101010", "00101111", "00111000", "01001000", "01010000", "01001010", "01000100",
				"01101101", "00110101", "01110110", "01011001", "01010000", "00110111", "01001111", "01110101",
				"01110000", "01110111", "01001000", "00101111", "00110000", "00101111", "00110001", "01100101",
				"01000101", "01100110", "01101010", "01110011", "01101010", "01010001", "01000001", "01100011",
				"01000001", "01011010", "01101000", "01100111", "01110011", "00110011", "01001101", "01001001",
				"01100001", "01100010", "01100111", "00101111", "01100110", "01000101", "01001010", "01101111",
				"01001111", "01011001", "01000001", "01001001", "01100010", "01000001", "01101101", "01111000",
				"01110111", "01110011", "01001110", "01001000", "01110101", "01010101", "01011000", "00111000",
				"01011001", "01111001", "01100110", "00110100", "01100110", "01101010", "00101111", "01010101",
				"01110110", "00101011", "01010110", "00101011", "01011000", "01100110", "00101111", "01100101",
				"00110111", "01010100", "00110101", "01001110", "00101111", "00101111", "01010000", "00110111",
				"01001100", "01001011", "00110110", "01101011", "01101111", "01111001", "00101111", "00101111",
				"01110000", "00101111", "01010101", "01100101", "01101111", "01110001", "01000011", "01101010",
				"00101011", "00111001", "01100110", "00110111", "00101111", "01001010", "00110010", "01010010",
				"00110001", "01100011", "01010000", "01010101", "01000110", "01110111", "01000111", "00110101",
				"01101001", "01011001", "01000111", "01110111", "01000001", "01010001", "01000011", "01000001",
				"01010001", "01110111", "01000001", "01101010", "00111000", "01000001", "00110001", "01011010",
				"01100110", "01001101", "01100100", "01011001", "01011001", "00110110", "00101011", "01101111",
				"01100101", "01110011", "01010101", "01010010", "01011010", "01000111", "01000010", "01101001",
				"01100010", "00110110", "01101111", "01001101", "01000111", "01000001", "01000111", "01000100",
				"01001101", "01110111", "00101111", "01101010", "00110101", "01100101", "01100100", "01010000",
				"01000010", "01000001", "01100011", "01000100", "01001000", "01101100", "00110000", "01111000",
				"01000101", "01000111", "01100101", "01110010", "01000001", "00110111", "01010010", "00110000",
				"01100011", "00110100", "01011001", "01111000", "01000100", "00110100", "01001100", "00110111",
				"01000001", "01000011", "00110111", "01000001", "01000001", "01100110", "01000001", "01000001",
				"01001100", "01000010", "01101011", "01110110", "01111001", "00110000", "00110111", "01100001",
				"00110000", "01001110", "01001011", "01010110", "00110101", "01110000", "01011000", "01001000",
				"00101111", "01100100", "01110001", "00110111", "01000110", "01000001", "01010001", "01000010",
				"01000011", "00110110", "00101111", "01110101", "01101011", "01100110", "00110010", "00110011",
				"00101111", "01101000", "00111000", "01001100", "01101001", "01101001", "01101001", "01001110",
				"01101000", "01000001", "01010001", "01000011", "01111001", "01000010", "01100011", "01010001",
				"01000101", "01010110", "01111000", "01001100", "01010111", "01000010", "00111000", "01010100",
				"01000010", "00110100", "01010000", "01100010", "01101100", "01101010", "01100110", "01010101",
				"01101010", "01101011", "01100111", "01000111", "01000001", "00110100", "01010100", "01010111",
				"01101111", "01010110", "01110111", "00110000", "01101001", "00101011", "00110100", "01000111",
				"01011001", "01100011", "01010010", "01100101", "01001001", "01110101", "01011001", "01101100",
				"01100111", "01100111", "01000011", "01000011", "01101101", "01001100", "01010011", "01001010",
				"01110101", "00111001", "00110011", "01010111", "01110011", "01010010", "00111000", "01001101",
				"01110101", "00110110", "00111001", "01101000", "00101011", "01101010", "01010111", "01001111",
				"01000100", "00110000", "01100111", "01010101", "01111000", "01001100", "01010010", "01011001",
				"00110010", "01110010", "01000001", "01100110", "01000111", "01000110", "01100011", "01010100",
				"01001000", "01010001", "01010011", "01111010", "01101100", "01110011", "01101011", "01101110",
				"01110110", "01110110", "01100111", "01101101", "01110110", "01111000", "00110111", "01000001",
				"01101101", "00110011", "01001001", "01011001", "00110100", "01011000", "01111000", "01110111",
				"01010010", "01101010", "00110100", "01011000", "01010100", "01100001", "01101101", "01000110",
				"01001010", "01001010", "01001100", "01101010", "01101000", "01110110", "01011000", "01000111",
				"01100010", "01110111", "01110110", "00110000", "01101110", "00110101", "01101110", "00111001",
				"01010010", "01100110", "01001100", "01110111", "01000100", "01110110", "01110011", "00101011",
				"00110011", "01000111", "00110010", "01111001", "01110011", "01001010", "01000011", "00101111",
				"01110010", "01100111", "00110010", "01000001", "01110110", "01010100", "01110011", "01110110",
				"01100100", "01100111", "00110010", "01101001", "01000101", "00110010", "01110011", "01000001",
				"00110011", "01110011", "01000010", "01101000", "00111001", "01100001", "01111000", "01000011",
				"01001100", "01100111", "01001100", "01101010", "01010110", "01101010", "00110110", "01111000",
				"01000100", "00110000", "00110100", "01110101", "01000011", "00101011", "01000111", "00110010",
				"01000001", "01101100", "00110110", "00110000", "00110010", "01101001", "01000011", "01011000",
				"01000001", "00110111", "01011010", "01110110", "01010100", "01101010", "01010111", "01101000",
				"01100111", "01110101", "00111000", "00110110", "01101110", "01010101", "01110111", "00101111",
				"00110001", "01110011", "01001100", "01000101", "01000100", "00111000", "01011000", "01011001",
				"01010001", "01110101", "00101011", "01001100", "01001010", "01111000", "01101010", "01011001",
				"01100010", "00101011", "01101100", "01000010", "01100110", "01000110", "00110011", "01001111",
				"01001100", "00111001", "01100010", "01000110", "00110000", "01010111", "01010110", "00110110",
				"01000101", "01100111", "00110110", "01100111", "01001110", "01010100", "01101001", "01110101",
				"01010111", "01110000", "01000001", "01110110", "01010111", "01000100", "01000010", "01000001",
				"01000011", "00111000", "01011001", "01100111", "01001000", "01111010", "01110100", "01101000",
				"00110000", "01010000", "01010010", "00110100", "01101111", "00110100", "01001001", "01110010",
				"01010100", "00110000", "00110110", "01100110", "01010110", "01000011", "00111000", "01010011",
				"00110111", "01010000", "01010001", "01001011", "01001101", "01001110", "01110110", "01111000",
				"01000100", "01010100", "01010101", "01101001", "01000010", "00110001", "01110110", "01110010",
				"01100110", "00101111", "01011001", "01010010", "00110110", "00110110", "01001010", "01110001",
				"01110110", "00101011", "00110100", "01000101", "01010011", "01010000", "01010100", "01000101",
				"01101101", "01101100", "01101001", "01000100", "01101101", "01000001", "00101111", "01000110",
				"00110101", "01001001", "01100111", "01000110", "01101100", "01110011", "01010010", "00110100",
				"01111010", "01001110", "01000001", "01110110", "01101110", "01100010", "01011001", "01101000",
				"01100001", "00111001", "01110111", "00111001", "01110100", "00111001", "01101001", "01001110",
				"01100010", "01100010", "01110011", "01010001", "01000001", "01101110", "01100110", "01010100",
				"00110001", "01001110", "01101010", "01100100", "01100100", "00111001", "01110111", "01101100",
				"01101010", "01110111", "00110101", "01001000", "01010111", "01100011", "01101100", "01111010",
				"01010100", "01101011", "01111010", "00110001", "01110011", "01101010", "01001110", "01100010",
				"01001000", "01110111", "01110000", "01010100", "01001010", "00110100", "01000101", "01001110",
				"01100011", "01001000", "01110111", "01110100", "01111010", "01100100", "01001101", "01001101",
				"01100010", "01000111", "01000111", "00101111", "01110111", "01101010", "00101111", "01110100",
				"00110101", "01110010", "00110110", "00110001", "01000110", "01001101", "01000100", "01011001",
				"01011001", "01101000", "01010010", "01101001", "01000001", "01110011", "01110100", "00110011",
				"01100111", "01100100", "01000111", "01001111", "01001001", "00101011", "01101111", "01100010",
				"01110010", "01100110", "01101101", "01000011", "01111010", "01001111", "01000110", "00101111",
				"01100010", "01000100", "01011010", "00101111", "00110000", "01001111", "00110001", "00110000",
				"01111000", "01100101", "01110010", "01010100", "01100001", "01111001", "01101111", "01000010",
				"01011001", "01000101", "00110000", "01000010", "01000100", "01001101", "01000001", "01000001",
				"01001111", "01001001", "01000001", "01000001", "01110101", "00110100", "01000010", "01010101",
				"01001100", "00101011", "01000001", "01001001", "01110010", "00110100", "01000100", "01100011",
				"01010001", "01000010", "01010010", "01100111", "01000011", "01001111", "01101101", "01000100",
				"01110110", "01000010", "01111000", "01000010", "01000010", "01101001", "01111000", "01110101",
				"01000001", "01000010", "00110111", "01111000", "01000010", "01000100", "01010001", "00110110",
				"00110000", "00110100", "01101011", "01000001", "01001110", "01001000", "01110010", "01001001",
				"01010110", "00111000", "01000001", "01001010", "00110001", "01110110", "00101011", "01011010",
				"01011010", "01110010", "01101110", "01001000", "01010111", "00111000", "01010101", "00101011",
				"01000111", "00101011", "00111001", "01110010", "01101111", "01010011", "01100100", "01110000",
				"01101111", "01100011", "01001010", "01011010", "01100110", "01100011", "00111001", "01011010",
				"01101110", "01101101", "01001110", "01110010", "01100111", "01000101", "01000111", "01000001",
				"00110111", "01011001", "01001000", "01001001", "01110111", "01000010", "01100010", "01000100",
				"01110100", "01101000", "00110101", "01101110", "01000011", "01001110", "01000111", "01000011",
				"01110001", "01001101", "01000011", "01010001", "01000001", "01101000", "00110010", "01101110",
				"01000010", "01000100", "01110011", "01000001", "00110000", "01011001", "01011000", "01110001",
				"01100111", "01000110", "01100111", "01101110", "01100010", "00101111", "00110010", "01001111",
				"01110011", "00110101", "01100001", "01100010", "00110101", "01100001", "01011000", "01001110",
				"01001110", "00101111", "01100110", "01000100", "01101010", "01000100", "01110111", "01010011",
				"01000001", "01011000", "01101101", "01101011", "00111000", "01001110", "01001011", "01000001",
				"01010100", "01111001", "01111000", "01100011", "01100111", "00101111", "01101110", "01001111",
				"00101011", "01000011", "01001111", "01001001", "01010010", "01010000", "01001100", "01100010",
				"01110010", "00111000", "01101111", "01100011", "00101111", "01101010", "01000011", "01100010",
				"00111000", "01010100", "01100011", "01111001", "00110100", "00110111", "00101111", "01000110",
				"01110011", "01110010", "01100111", "01000010", "01000001", "01000001", "01101001", "01110110",
				"01110111", "01101110", "01010011", "01101101", "00111001", "01001111", "01100110", "00101011",
				"01010111", "00110100", "00110111", "01100100", "00110011", "00101111", "01110110", "01010100",
				"01111000", "01000111", "01000110", "01100010", "01101010", "00110011", "01001101", "01100110",
				"01100001", "01100011", "01101000", "01111001", "01110111", "00101011", "01000111", "01100110",
				"00110001", "01110000", "01011000", "00111001", "01001110", "01010000", "00110000", "01101110",
				"00101111", "01000111", "01001100", "01110111", "01001111", "00110000", "01010001", "00101111",
				"00101111", "01011010", "01001110", "01000001", "00101111", "01101111", "01111000", "00111000",
				"01000111", "00101111", "00110100", "01011001", "01000001", "01100010", "01010100", "01000001",
				"00110010", "00110111", "00110111", "01010111", "01001001", "01100110", "01001101", "01000011",
				"01000111", "01011000", "00110100", "01110101", "01000100", "01110100", "01000011", "01101101",
				"01000011", "01111010", "01010100", "01101011", "00110000", "01100111", "01101111", "00110000",
				"01001101", "01011001", "01001101", "01000100", "01110010", "01010011", "00110101", "01000010",
				"01001000", "00110010", "01001101", "01010100", "00110111", "01110111", "00110100", "01111000",
				"01110101", "01110110", "01110010", "01000110", "00111000", "01010000", "01111001", "00110010",
				"00110000", "01010011", "01110101", "01000100", "01001111", "01101101", "01010011", "00101011",
				"01100001", "01000001", "01111000", "01000110", "01010011", "01010000", "00110111", "01010110",
				"01111001", "01010100", "00101011", "01010010", "01101101", "00110101", "01000010", "00110111",
				"01001100", "01011010", "01100011", "01110000", "01010010", "00110101", "01001011", "01100010",
				"00101111", "01010110", "01001111", "01010110", "01100110", "01010110", "01100111", "01101101",
				"01100001", "01000001", "01001011", "00110010", "01000101", "00110011", "01101111", "01001000",
				"01010111", "01010001", "01110101", "01110101", "01100111", "01101010", "01110111", "01000001",
				"00110100", "01110100", "01000001", "01001000", "01100001", "01000011", "01001100", "00110000",
				"01001000", "01100010", "01011001", "01100001", "01010111", "01010001", "01001111", "01110011",
				"00110011", "01010000", "01100001", "01110101", "00101111", "01110110", "01111010", "00110101",
				"00101011", "01010000", "01010000", "01110101", "00110001", "01100110", "01001100", "00111001",
				"01101110", "01010011", "00110111", "01010000", "00111000", "01110001", "01110100", "01011001",
				"00110100", "01110111", "01001010", "01110100", "01001110", "01101000", "00110100", "00110010",
				"01100110", "01010100", "01011010", "01101000", "01001110", "01101010", "00110000", "00110010",
				"01010001", "01100010", "01010011", "00101011", "01100010", "00110110", "01010101", "00111001",
				"00101111", "01100010", "01000100", "01110110", "01011001", "00110101", "01001110", "01101101",
				"01001101", "00110010", "01001101", "01010010", "01000001", "01000011", "00101111", "00101011",
				"01010000", "00110101", "00110111", "01011010", "00110101", "01110110", "01110011", "00110010",
				"00110101", "00110100", "01000001", "01000101", "01001000", "00111000", "01010000", "01110001",
				"00111001", "01110001", "01110010", "00101011", "01100101", "01100001", "00110101", "00110001",
				"01101110", "01000011", "00110001", "01110010", "01111000", "01010001", "01001110", "01000010",
				"01100001", "01000010", "01110001", "01010011", "00110001", "01000011", "01110110", "01110011",
				"01000011", "01001001", "01011000", "00111001", "01100001", "00101111", "01111000", "01110101",
				"01101010", "01011001", "01100010", "01110100", "01101000", "00111000", "01101010", "01000100",
				"01101010", "01010000", "00110110", "00110001", "01100001", "01010110", "01100100", "01110000",
				"01100001", "00101111", "01110011", "00110101", "01100111", "00110000", "01000111", "01100110",
				"01010001", "01011001", "00111001", "01000001", "01000111", "00110100", "01000001", "01110111",
				"01010011", "01000100", "01000101", "01100111", "01000111", "01100101", "01010001", "01011001",
				"01010100", "01000111", "01110110", "00110100", "01100101", "01001000", "00110100", "01001101",
				"01011001", "01100001", "01000101", "01001111", "01000011", "01010110", "00101111", "00110000",
				"00101111", "01110010", "01000100", "01110010", "01110011", "00110011", "00111000", "01101011",
				"01000001", "01110100", "00110100", "01101101", "00110001", "01001111", "01010101", "00101111",
				"01110000", "01110100", "01010110", "01010110", "01001000", "01010111", "00110110", "01110101",
				"00110000", "00110000", "01011001", "01000110", "01110010", "01001110", "01101000", "01001011",
				"01000001", "01001001", "00101011", "01001111", "01000011", "01111001", "01100010", "01010011",
				"01001110", "01010110", "01110000", "01100110", "01100111", "01000110", "00110000", "01001100",
				"01000101", "01110101", "00110011", "01110101", "01010001", "00110100", "01010001", "01101010",
				"01110111", "01110110", "01000001", "01001100", "01011000", "01000010", "01101010", "00111001",
				"01010110", "01001111", "01001100", "01101001", "01111000", "01001100", "00110001", "01011010",
				"01000111", "01000011", "01101111", "00110111", "01111000", "00111001", "01101111", "01100001",
				"01110110", "01101101", "01010101", "01101000", "01110111", "01001001", "01101111", "00110110",
				"01000101", "01001001", "01110111", "01100010", "01101001", "01011000", "01000111", "01010101",
				"01000001", "00110010", "01101110", "01100100", "01110111", "01100110", "01011001", "01110101",
				"01100101", "01010001", "00110110", "00110001", "00111001", "00110011", "01111001", "01000100",
				"01100010", "01110101", "00110011", "00110111", "01110001", "01111001", "01000111", "01011001",
				"01000001", "01101111", "01010000", "01000101", "01001111", "00110011", "01001100", "01001111",
				"00110110", "01100110", "00101011", "01101111", "01100011", "01000001", "01110111", "01000011",
				"01100111", "01101001", "01000001", "01010001", "01000001", "01001111", "01111000", "01010010",
				"00101111", "00110110", "01110011", "01010100", "01000010", "01010000", "01011010", "01001000",
				"01101110", "01001111", "01100111", "01000100", "01010101", "01001011", "01000111", "01001101",
				"01000100", "01101001", "01001001", "01000101", "01100010", "01100101", "01111010", "00110100",
				"01000101", "00111000", "01101000", "01110100", "00110100", "00111000", "01001000", "01000010",
				"01010100", "01010001", "01001011", "01111010", "01100110", "01110011", "01100101", "01110001",
				"01000001", "01100101", "00111001", "01010000", "01001001", "00110110", "01110101", "01101111",
				"01100011", "01110101", "01000110", "00111000", "01111000", "01111000", "01100111", "01010000",
				"01000001", "01010011", "01110100", "01111010", "01110001", "00110110", "01110000", "01100101",
				"01110011", "00110001", "01100100", "01010111", "01010110", "01100010", "01001110", "01000100",
				"00101111", "01001101", "01000001", "01000001", "00110000", "01100101", "01001011", "00101011",
				"00101011", "01000010", "01000101", "00110111", "01110000", "01000010", "00101011", "01010000",
				"01000010", "01100011", "00110100", "01110001", "01011010", "01000111", "01010111", "00110011",
				"01011000", "01000010", "00110111", "01011001", "01000001", "00111001", "01000010", "01000010",
				"01001111", "01100011", "01001011", "01001101", "01000111", "01010010", "00111001", "01001110",
				"01101001", "01100111", "00101111", "01000001", "01000010", "00111001", "01000111", "01110011",
				"01011001", "01000001", "01000101", "01110111", "01100111", "00101111", "01000100", "00111000",
				"01110111", "01000011", "01101001", "01001011", "00101011", "01110111", "01010001", "01000110",
				"01010000", "00101011", "01100101", "01100110", "01110111", "01000001", "01000111", "01111000",
				"01000100", "00110111", "00110111", "01100111", "01100101", "01000010", "01110100", "01110011",
				"00110010", "01011001", "01001111", "00110001", "00110011", "01110111", "01000101", "01011010",
				"01010000", "01100100", "00110100", "01010000", "01110101", "01000010", "00110110", "01010000",
				"00110001", "01000010", "01110110", "01010001", "01001000", "01101010", "01110001", "01010010",
				"01111000", "01010110", "00110001", "00111001", "01000011", "00101111", "01000100", "00110011",
				"01001001", "01011010", "01000100", "00111000", "00110001", "01010111", "01010110", "01101100",
				"01100110", "01101011", "01100111", "01111010", "01000111", "01101100", "01100101", "01000011",
				"01000011", "01101011", "00111000", "01000101", "01010011", "01100110", "01000111", "01010011",
				"01000100", "01001101", "01011000", "00110110", "01111001", "00111000", "01101010", "01001010",
				"01111001", "01110011", "01101111", "01000010", "00110110", "01110011", "01000110", "01110001",
				"01110111", "01101010", "00110101", "00111000", "01010000", "01101010", "01101111", "01111001",
				"01000010", "01000010", "00101111", "01110100", "00110100", "00101011", "00110101", "01001100",
				"01010101", "01100111", "01101010", "01010111", "01000101", "00110001", "01101101", "01101000",
				"01110001", "01001001", "01001011", "01100001", "01110000", "01011010", "01011001", "01011000",
				"01100111", "01100001", "01111000", "01010011", "01111001", "01101100", "00110100", "01100001",
				"01010001", "01110110", "01011010", "01101011", "01101100", "01011000", "01001010", "01100100",
				"01000001", "01111000", "01001101", "01000101", "01010110", "01011010", "01010010", "01010010",
				"01101100", "00110101", "01001001", "01010001", "00110000", "01101101", "01100011", "01000110",
				"01100110", "01001010", "01110101", "01110000", "01000101", "01010110", "01111010", "01100011",
				"00110001", "01101100", "01001010", "00110111", "01000010", "01111000", "01101110", "01000100",
				"01110111", "01010100", "01101011", "01001110", "01101111", "01011001", "00111000", "01100001",
				"01100111", "01101111", "01000011", "01000011", "01011010", "01001001", "01000001", "01010101",
				"01011010", "01000001", "01110100", "01000110", "01100100", "01010110", "01101000", "00110110",
				"01001010", "01010010", "01001101", "01110010", "01001011", "01001001", "01010111", "01010001",
				"01010010", "01000011", "01000111", "01101101", "01010001", "01001001", "01010101", "00110000",
				"01001011", "00111000", "01010011", "01010110", "01101010", "01100111", "01110001", "01010110",
				"00111001", "01010011", "01100011", "01001100", "01110010", "01010100", "01110010", "00110111",
				"00110111", "00110000", "01010001", "01001101", "00101111", "00110110", "01000101", "01010011",
				"00111000", "01001000", "01111000", "01101100", "01010000", "00111000", "01001001", "01011000",
				"01010100", "00110111", "01101010", "01000101", "01110101", "01101000", "01000001", "01000011",
				"01111001", "01101000", "01110000", "01000001", "01010001", "01001101", "00110011", "01111001",
				"01010100", "01100010", "01001101", "01010100", "01110000", "00110100", "00101111", "01100100",
				"01101010", "01101111", "01101100", "00101011", "01101101", "00111001", "01110011", "01001101",
				"01111010", "01111010", "01010100", "01101110", "01001001", "01010110", "01110100", "01001101",
				"01101100", "00110100", "01101010", "01000010", "01101011", "01000001", "01110100", "01000111",
				"01000111", "01010001", "01010000", "01000100", "01010111", "00110001", "01001011", "01100010",
				"01010010", "00110100", "01010001", "01011010", "00110100", "01001001", "01101001", "00110110",
				"01001001", "01010001", "01010000", "01010001", "01101001", "01110111", "01011000", "01010111",
				"01110100", "01101100", "01100100", "01010110", "01101100", "00101111", "00110000", "01111010",
				"00110110", "01001000", "01110000", "01101110", "01110011", "01101110", "00110000", "01001010",
				"01100010", "01111010", "00110001", "01110100", "00110010", "01001001", "00110011", "01000110",
				"01001110", "01011010", "01101110", "01000100", "01101011", "00111001", "01111001", "01001010",
				"01110001", "01001101", "01100111", "01001111", "01110010", "01111010", "01110111", "01000010",
				"01100100", "01100111", "01000111", "01110011", "01110010", "01000111", "01110111", "01100011",
				"01100010", "01001011", "01111000", "01100110", "01001000", "01001110", "01100111", "00110100",
				"01100101", "01100010", "01110001", "00110100", "01100100", "01000001", "01101110", "01001011",
				"01000011", "01000001", "01101110", "01111000", "00111000", "01000001", "01101101", "01101111",
				"01010011", "01010101", "01101011", "01001010", "01111001", "00101011", "01101000", "01110000",
				"01000111", "01101000", "01101110", "01101111", "01100001", "01110101", "01110100", "01110001",
				"01101101", "01100001", "01001010", "00111001", "01000100", "01110000", "01101100", "01100010",
				"01110101", "01001011", "01000111", "00110011", "01100100", "01001001", "00101011", "01000110",
				"01001000", "00110011", "01100110", "00110011", "01001010", "01101111", "01100011", "01100100",
				"01000100", "01010100", "01011010", "01110111", "01101001", "01011001", "00111000", "00111000",
				"01000111", "01011000", "01100110", "01011001", "01001010", "01000100", "01100111", "00110010",
				"01101011", "01001111", "01011001", "01000110", "01110111", "00111000", "00101111", "01000001",
				"01001001", "00111000", "01000001", "01110001", "01101111", "01000011", "01100111", "01110001",
				"01110001", "01000010", "01110000", "01110010", "01110010", "01101101", "01100111", "01100110",
				"00101011", "01110100", "00110010", "01010111", "00110001", "01000001", "01100101", "01000100",
				"01011001", "01000001", "01110001", "00110110", "01000100", "01010110", "01101001", "01101000",
				"01000101", "01000011", "01001011", "01000100", "01101010", "01100111", "01000101", "01000001",
				"00110101", "01001001", "01001011", "01110011", "01010000", "01000001", "01010101", "01000110",
				"01110111", "01100101", "01100100", "01000010", "01000100", "00110001", "01110101", "01010100",
				"00110111", "01110101", "01010001", "01011010", "01000011", "01000010", "00110100", "01011000",
				"01010010", "01001101", "01111010", "01000010", "01110101", "01011001", "01010111", "01001010",
				"01101101", "01000001", "01010001", "01101101", "01010110", "00110111", "01000001", "01000001",
				"01100100", "01000010", "01000001", "01110001", "01101100", "01100111", "00110000", "01001000",
				"01110000", "00110110", "01010111", "01001000", "01100111", "01100001", "01101111", "01001011",
				"01000101", "01100111", "01011000", "01011001", "01000001", "01111000", "01101011", "01001000",
				"01010000", "01110101", "01010101", "01100100", "01100101", "01101101", "00110100", "01001000",
				"01001100", "01000011", "01110011", "01001101", "01101111", "00110101", "01001101", "00101011",
				"01001110", "01000011", "01001001", "00101111", "01001100", "00110011", "01000011", "01001011",
				"01110011", "01010101", "00111001", "01110010", "01001001", "01100111", "00101011", "01110010",
				"00110101", "01001001", "01001011", "01001100", "01100111", "01010001", "01101111", "01111000",
				"01100111", "01011001", "01110100", "00110110", "00101011", "01011001", "01111001", "01100011",
				"01110110", "00110011", "00110001", "00110101", "01010010", "01001101", "01100110", "01000110",
				"00111001", "01000101", "01101111", "01110000", "01001011", "01111001", "01101001", "01110001",
				"01110001", "01010011", "01000100", "01010110", "01100100", "01010000", "01011000", "00110000",
				"01000100", "01010001", "01111001", "01001110", "01101010", "01000101", "00110010", "01110011",
				"01100010", "01010111", "00110111", "01010001", "01100100", "00101011", "01001000", "01011010",
				"01101000", "01011000", "01011000", "01000110", "01110101", "00110111", "01101000", "00110101",
				"00110100", "01010100", "01111000", "01001001", "00110101", "01001001", "01000100", "01000001",
				"01101111", "01001111", "01000011", "01010100", "00110000", "00111001", "01001010", "01101101",
				"01111010", "01101011", "01100101", "01100110", "01001111", "01011000", "00110100", "01101001",
				"01001011", "01010100", "00110111", "01101001", "01010011", "01101101", "01001000", "01010001",
				"00110001", "01001111", "01010011", "01010101", "00110001", "01001011", "00110101", "01110100",
				"01111001", "01001101", "01111001", "01100011", "00110011", "01001100", "00110111", "00101011",
				"01100111", "01110010", "01001100", "01111001", "01101001", "01110011", "01110001", "01110001",
				"00110110", "01110000", "01110010", "01100010", "01110101", "00101111", "01101111", "01001111",
				"01001000", "01010100", "01100011", "00110000", "01110100", "01110010", "01010111", "00110011",
				"01110100", "01110110", "01011000", "00110011", "00111001", "01101010", "01110111", "01100101",
				"01100101", "01000100", "01000001", "00110100", "00111001", "01100110", "01100110", "01101100",
				"01110001", "00111001", "01010000", "01011000", "01011001", "00101011", "01001101", "01010100",
				"01101011", "00110001", "01001010", "01110101", "01010000", "01110011", "00110011", "01010000",
				"01111010", "01000011", "00110100", "01110100", "01001100", "01101110", "00110101", "01011001",
				"00101111", "00110000", "00101111", "01001011", "01000011", "01000001", "01000110", "01000100",
				"01001001", "01100100", "00101111", "01101100", "01101100", "01011000", "01101000", "01111000",
				"01100111", "01011000", "01101110", "01010001", "01110111", "01000111", "01000010", "01010100",
				"01000111", "01010011", "01001101", "01110011", "01001100", "01010001", "01101000", "01100100",
				"01000101", "01001001", "00110011", "01000100", "01000001", "00110110", "01010000", "01100110",
				"01001001", "01001101", "00110011", "01000010", "01110001", "01010111", "01111010", "01000110",
				"01101001", "00101111", "01001100", "01101101", "01000101", "01000101", "01001111", "01000110",
				"01100010", "01110101", "01001000", "01011000", "01101001", "01001101", "01101011", "01110011",
				"01100010", "01101101", "01011001", "01010001", "01010110", "01010101", "01000010", "00111001",
				"00110100", "01011000", "01001001", "01100111", "00111001", "01111010", "01001110", "01110100",
				"01000110", "01000110", "01000110", "00101111", "01110101", "00101111", "01010101", "01101000",
				"01001100", "01100010", "01010011", "00110010", "01111010", "01100110", "01111001", "00110010",
				"01111000", "01101001", "01010000", "00111001", "01010010", "01011010", "01101010", "00111000",
				"01010011", "00101011", "00110101", "01101110", "01011000", "01010101", "00110100", "01000001",
				"01010110", "01000011", "01110101", "00110100", "01000100", "01001000", "01000110", "01000001",
				"01001111", "01010001", "01000001", "01110100", "00110100", "01110110", "01010111", "00111001",
				"01100110", "00101011", "00111000", "00110001", "01000010", "01101001", "01010001", "01101000",
				"01101010", "01010110", "01010011", "01000011", "01101111", "00111000", "01001011", "01010000",
				"01001000", "01011000", "01001111", "00110011", "01111001", "01000101", "01010000", "01110011",
				"01001010", "01110100", "00110111", "01110001", "00111001", "01010011", "01100111", "01001011",
				"01110100", "00110000", "01010101", "00110110", "01000100", "01101110", "01010110", "01101110",
				"01010110", "01011000", "01001000", "01110000", "01101110", "01110111", "01110000", "01011010",
				"01000110", "01000011", "01001010", "01101000", "01110111", "00110001", "01000110", "01100010",
				"01010010", "00101111", "01100111", "01000011", "01100100", "01000101", "00110000", "01010110",
				"01100101", "01001011", "01101111", "01100100", "01000110", "01001101", "00111001", "01101111",
				"01001110", "01110100", "01100001", "01001010", "01001101", "01110000", "01110010", "01010100",
				"01001011", "01110000", "01010001", "00101011", "01110011", "01000001", "01101001", "01101010",
				"01111000", "01100010", "00110101", "00110001", "01010110", "01000111", "01110110", "01000001",
				"01101010", "00110111", "01010110", "01100101", "01110010", "01111010", "00110110", "00111001",
				"01100011", "01010010", "01001110", "01011010", "01001010", "01010101", "01110010", "00110111",
				"00111001", "01010110", "01110010", "01010101", "01001011", "01011010", "01001100", "01000001",
				"01110011", "00110010", "01111000", "00110111", "01110010", "01101011", "01001000", "01010100",
				"01111001", "00110100", "01001110", "01001101", "01000110", "01010010", "01101110", "01001101",
				"00110001", "01111010", "01001010", "01010001", "01001111", "01110001", "01011000", "01101000",
				"01000010", "01001011", "01100010", "00110010", "00110001", "01010101", "01100110", "01110011",
				"01100001", "01000001", "01001101", "01010010", "01001010", "01000011", "01100100", "00101111",
				"01100111", "01000111", "00110110", "00110101", "01110000", "01100010", "01001101", "01100010",
				"01010101", "01100001", "01001110", "00110100", "00111001", "01110101", "00111000", "01101000",
				"00110101", "01001101", "01010011", "01010011", "00110111", "01000111", "00110011", "00110000",
				"01111001", "01000101", "00110001", "00111001", "01001011", "00110010", "01010100", "01011000",
				"01001101", "01001000", "01001000", "01111001", "01011001", "01011000", "00110111", "01110000",
				"01001001", "00101011", "01010010", "01101110", "01001110", "01101111", "01000011", "01011010",
				"00110100", "00101011", "01101010", "00110000", "01010010", "01100010", "00110111", "01001000",
				"01101010", "01010110", "00110001", "01010110", "01111010", "00110010", "00110001", "01101010",
				"00110000", "01111000", "01110101", "01111001", "01010000", "01010010", "01101100", "00110011",
				"01101101", "00110000", "01010010", "01011000", "01110011", "01010010", "00110110", "01000110",
				"01101011", "01000111", "01100100", "01001110", "01000111", "00110111", "00110000", "01110001",
				"01100001", "01101011", "01010011", "01110011", "01000100", "00110000", "01001101", "01011001",
				"01011000", "01010111", "01000010", "01000110", "01110011", "00111001", "01001000", "01110001",
				"01010101", "00110111", "01000110", "01110001", "01110010", "01101001", "00110000", "01101110",
				"01001110", "01010000", "01101010", "01110111", "00110011", "01010110", "00101011", "01001010",
				"01010000", "01010100", "01110000", "01010010", "01101111", "01101101", "01010111", "01101001",
				"01010110", "01101110", "01011010", "01110111", "01110001", "01101101", "01100010", "01001011",
				"01100101", "01110111", "01010001", "00110110", "01011000", "01110101", "01001101", "01110011",
				"00110000", "00101011", "00110000", "01000011", "01110000", "01001000", "01110101", "01101100",
				"00110111", "00110111", "00110000", "00110011", "01101011", "01110010", "01010001", "01001010",
				"00110011", "00111000", "01010001", "01111000", "01011000", "01101000", "01101100", "01011001",
				"01000010", "01010010", "00110110", "00110011", "00110011", "01110001", "01010010", "01110001",
				"01010101", "01011001", "01111000", "01100101", "01001000", "01001111", "01101011", "01110001",
				"01001110", "00110000", "01001010", "01010110", "01101110", "01110001", "00101011", "01001101",
				"01111010", "01011000", "01000101", "00111001", "01010000", "00101011", "01010000", "00110111",
				"00101011", "01101110", "01010000", "01110101", "01011010", "01000110", "01110111", "01100110",
				"01001100", "01100101", "00110110", "01001100", "01000001", "01001111", "01010001", "01110110",
				"01010000", "01000100", "01000100", "01111010", "01111010", "01010000", "01110001", "01010101",
				"01110010", "01000101", "00110111", "00111001", "01101100", "01111001", "01011000", "01000100",
				"01010000", "01000110", "01001001", "01110000", "01110100", "01000011", "00110000", "00111000",
				"01101100", "01110000", "01001110", "01100101", "00110010", "01101100", "01111010", "01110111",
				"01100001", "01101001", "01010011", "01101000", "01110011", "01110110", "01101111", "01111010",
				"01110011", "00110010", "01101001", "01100011", "00110100", "01010110", "00101111", "01101001",
				"01111000", "00110100", "01001101", "01100010", "01010100", "01001011", "01000111", "00110110",
				"00110000", "01100010", "00110010", "01110011", "01101000", "01101011", "01110110", "01010111",
				"01010011", "01101001", "01001100", "01100101", "01110010", "01101010", "00111000", "01000011",
				"01100011", "01110110", "01110010", "01101110", "01010100", "01111010", "01101110", "01100100",
				"01101000", "00110100", "01110110", "01101110", "00110001", "01100111", "01101000", "01001001",
				"01000111", "00110010", "01111001", "00101011", "01111001", "01100110", "01100011", "01101110",
				"01100101", "01001101", "00111000", "01010101", "00110011", "00111000", "01010110", "01000111",
				"01110000", "01000111", "00111001", "01001010", "01010001", "01101100", "01000101", "00110101",
				"00101111", "01110011", "01101101", "01100101", "01100011", "01100111", "01001001", "01100010",
				"01001111", "01010101", "00110010", "01001101", "01010011", "01100010", "01100100", "01001110",
				"01100110", "01110001", "00110101", "01101110", "00110000", "00110111", "01001101", "01010010",
				"01110010", "01011000", "01101001", "01101011", "00110110", "01101011", "01000001", "01001111",
				"01100100", "00110010", "00110000", "01011010", "00110001", "01101010", "01100011", "01110110",
				"01000101", "00110111", "01011000", "01001000", "01000111", "00110001", "01010011", "01110001",
				"01001111", "01010111", "00110110", "01101111", "01111000", "00110010", "00110110", "01110001",
				"01101010", "01110101", "01001010", "01101111", "01010001", "01001101", "01101110", "01110001",
				"01100110", "01100101", "01001100", "01110001", "00111000", "01100111", "01100111", "00110101",
				"00110111", "01000110", "00110011", "01001010", "01100101", "01010100", "00110000", "01010010",
				"01110001", "01101010", "01101100", "01100011", "01110101", "01110011", "01001001", "01010011",
				"01111010", "01010011", "01101100", "01101100", "01100111", "01101110", "01000011", "01110100",
				"01101001", "01011000", "01001111", "01001110", "01010011", "00110110", "01101000", "01001010",
				"01010011", "01001010", "00110111", "01001111", "00110011", "00101011", "01110010", "01110001",
				"01101010", "01001000", "01100101", "00110001", "00111001", "01000011", "01000010", "00110101",
				"01001010", "01010010", "01101010", "01100001", "01101101", "01101111", "00110001", "01001011",
				"01101000", "00110111", "01110000", "01010111", "01011001", "01000111", "00111000", "01001101",
				"01001110", "01101111", "01100001", "01101110", "01010100", "00110101", "01001100", "01111010",
				"01000110", "01100111", "01110110", "01000010", "01110101", "00110101", "01110100", "00110100",
				"01001011", "01001011", "01110101", "01100011", "00101011", "01110111", "00110001", "01010011",
				"01000100", "01000011", "01100111", "00110111", "01111000", "01110111", "00110100", "01101100",
				"01110001", "00111001", "00110101", "01101101", "01010000", "01010010", "01101110", "01101110",
				"01110010", "01010001", "01101110", "01101110", "01010101", "00110101", "01101011", "01110011",
				"00110000", "01010000", "00110110", "01100100", "01010100", "00110111", "01100011", "01100101",
				"01010101", "01011010", "01110100", "01010001", "01001110", "01010100", "01000101", "00111000",
				"01000110", "01001110", "01011010", "01001000", "01001111", "01111010", "01001000", "01110000",
				"00110001", "01001011", "00110001", "00110000", "00111000", "01110001", "01100110", "01100001",
				"00110100", "00110101", "01100111", "00110011", "01101100", "01101000", "01001001", "01011010",
				"00101011", "01111001", "01001110", "01100100", "01010100", "01101000", "01100101", "01111001",
				"01101000", "00110100", "00110011", "01100101", "01011001", "01001111", "01010111", "00111001",
				"00110111", "00101011", "00111001", "01110100", "01100101", "01110101", "01110101", "01101100",
				"01100110", "01101101", "01010101", "01110011", "01011010", "00110011", "01110010", "01010110",
				"01111010", "00110101", "00110101", "01100101", "01010011", "01101000", "01100001", "01001100",
				"00110010", "00101011", "01000010", "01000110", "01100100", "00110111", "01110011", "01101110",
				"01010010", "01010101", "01110001", "01110010", "01011001", "01100010", "01110000", "01110111",
				"01001100", "01010010", "01110011", "01100001", "01001111", "01010010", "01000110", "01000111",
				"01100110", "01001101", "00110011", "01110100", "01110110", "01111000", "01000101", "00101011",
				"01001101", "00110010", "01000010", "00111000", "01101100", "01000111", "01100100", "01101101",
				"01000011", "01100001", "00101111", "01001001", "00110000", "01010101", "01100100", "01000011",
				"01101110", "01100011", "00101011", "01001000", "01000100", "01110011", "01101011", "01100010",
				"00110101", "01001100", "00101011", "01011000", "01110111", "01100101", "01010011", "01010011",
				"00110001", "01110100", "01001101", "01000001", "01100010", "01000111", "01000011", "01101001",
				"01001001", "01110011", "01110111", "01010101", "01100101", "01100111", "01110111", "01101101",
				"01110111", "01110000", "01010101", "01001010", "01101101", "01101110", "01110010", "01001011",
				"01010111", "01110100", "01010000", "01101110", "01001101", "01110111", "01110001", "00110011",
				"00110111", "01001101", "00110011", "00101111", "01111010", "00110100", "00101011", "01000010",
				"01000100", "01010100", "00110010", "01011010", "01000110", "01110100", "01100110", "01100110",
				"00110111", "01000010", "01101110", "01010111", "01001101", "00110101", "01010011", "00101111",
				"01100010", "01101100", "01010001", "01111000", "01000101", "01101010", "01011000", "01000001",
				"01101110", "00110010", "01001100", "01101011", "01110010", "01110110", "01101100", "01000110",
				"00111000", "01100101", "00110000", "01101101", "01100001", "00110011", "01100101", "01011000",
				"01000010", "01101101", "00101111", "01000111", "01001011", "00110101", "01001001", "00111000",
				"01001111", "01010100", "00110010", "01011000", "00110101", "01100111", "01110001", "01100011",
				"00110111", "01110010", "01101011", "00101111", "01011001", "01110110", "01010100", "00110110",
				"00110110", "00110100", "01110111", "00110111", "01000111", "00101011", "01110000", "01011000",
				"01100010", "01101000", "00111000", "01000110", "01001100", "01111010", "00110111", "01101000",
				"01100010", "01101110", "00110101", "01001000", "01010011", "00101011", "01110011", "00110001",
				"01100110", "01110000", "00101111", "01010111", "00111001", "00101111", "01001110", "01001001",
				"01010011", "01000110", "01100100", "01001000", "01010010", "00110001", "01110000", "01000100",
				"01010100", "01000010", "01110010", "01100110", "01000101", "01011010", "00111001", "00110110",
				"01110001", "01010000", "01000111", "01110111", "01101110", "01001010", "01101110", "01011001",
				"01110010", "00110101", "01111001", "01111000", "01010111", "00110010", "01000011", "01000111",
				"01110100", "01011000", "01001111", "01001011", "01000101", "01010101", "01110001", "01001011",
				"00110001", "01100011", "00110111", "01110100", "01100010", "01011001", "01110100", "01110010",
				"01010011", "00110001", "01001000", "01111001", "01100101", "00110001", "00110001", "00110010",
				"01001111", "01110011", "01110100", "01001001", "00110010", "01110011", "01010011", "01000100",
				"01101001", "01001101", "01100100", "01010111", "01110110", "01111010", "00111000", "00110100",
				"01100011", "01010000", "01110000", "00110010", "01110110", "01001110", "01000111", "01010100",
				"01100110", "01001101", "01100111", "01011010", "01110110", "00110100", "00110001", "00110001",
				"01010101", "01100001", "01110000", "01110110", "01110001", "01001101", "01010010", "01010010",
				"00110101", "01100001", "01001001", "01010011", "01010001", "01101110", "00101011", "00110110",
				"01110100", "01110000", "01101100", "01001011", "01101100", "01101010", "01010110", "01100101",
				"01100001", "01010000", "01110110", "00110010", "00101011", "00111000", "01101110", "01111010",
				"01101000", "01100010", "01000010", "01101000", "01011010", "01001011", "01010111", "01110110",
				"00110100", "01010101", "01000111", "01100011", "01000101", "01101011", "00101011", "00110000",
				"01110100", "01000100", "01100011", "00101011", "01110111", "01000010", "01110010", "01010001",
				"01001001", "01010111", "00110100", "00111000", "01010010", "01100100", "00101111", "01110001",
				"01101010", "01110010", "01011001", "01100110", "01110101", "00110010", "01001101", "01101101",
				"00101111", "01100101", "00110001", "00110101", "01110000", "01001110", "01000110", "00110110",
				"01111010", "01001000", "01001100", "01000010", "00101111", "01100100", "00110001", "00110001",
				"01000011", "01010110", "00110101", "01000001", "00110011", "01001111", "00110110", "01010101",
				"01110011", "01001011", "00101011", "01000111", "00111001", "01001101", "00110001", "01110110",
				"01100101", "00101011", "01100001", "01110100", "01101100", "01001001", "01011000", "01110010",
				"01100011", "01011001", "01110011", "01001001", "01100110", "01110001", "01010011", "00110010",
				"00110001", "01100110", "01010110", "01010100", "01010010", "01101100", "01010001", "00111000",
				"01001001", "01001001", "01111010", "01100010", "00101111", "01001010", "01111010", "01110111",
				"01001010", "01010110", "01001111", "01100100", "01101110", "01111010", "01110111", "00101011",
				"01000110", "01001010", "01010000", "00110000", "01100101", "00110101", "01001011", "01110110",
				"01010110", "01001110", "01011000", "01001000", "01101111", "01000011", "01110010", "01100001",
				"00111001", "01010101", "00110100", "00110010", "01110001", "00110110", "01000111", "01100001",
				"01101100", "01111010", "00111001", "01010000", "01110010", "01010001", "01101110", "01000001",
				"01110111", "01110110", "01101010", "01110101", "00110000", "01110010", "01100100", "01001011",
				"00101011", "01100001", "01000111", "01010110", "01100110", "01001111", "01011000", "01010011",
				"01100010", "01010001", "01100101", "01000110", "01010001", "01001101", "01100010", "01001010",
				"01010001", "01001111", "01010101", "01000100", "00110111", "01001111", "01011001", "01110011",
				"00110010", "01101101", "01110001", "01011000", "01101101", "00111001", "01000111", "01010110",
				"01101110", "01001011", "00111000", "01100101", "01110000", "01101110", "01100001", "01101101",
				"01001110", "01110010", "00110001", "01110010", "00110010", "01000101", "01111001", "01011000",
				"00110100", "01010011", "00110100", "01110011", "01110111", "01101010", "00110110", "00110111",
				"01001010", "01000111", "00101011", "01100110", "01001001", "00110101", "00110110", "01110010",
				"01001101", "00110101", "01100011", "01101001", "00101111", "01101111", "01110101", "00110100",
				"01110011", "01101111", "00110000", "01111010", "01110111", "01001110", "01101011", "00110101",
				"01010001", "01001000", "01100110", "01000001", "00111001", "01100011", "01000011", "01101011",
				"01001100", "01001001", "01001100", "01000011", "01100111", "01000111", "01110101", "01011010",
				"01010011", "01001111", "01000111", "01111000", "01010111", "00111001", "00110001", "01010010",
				"01010010", "01110110", "01100110", "01010010", "00110001", "01100001", "01110100", "00101111",
				"01010110", "00110001", "01000111", "01011010", "01010000", "01100100", "01001011", "00110001",
				"01111010", "01110001", "01011010", "01110100", "01001001", "01101000", "01101111", "01010110",
				"01101111", "01101100", "01000101", "01100100", "01010111", "01001000", "01010111", "01110110",
				"01001101", "01011010", "01011000", "00110001", "01101011", "00110011", "00111000", "01100110",
				"01001100", "01101111", "00110001", "01110001", "01100100", "00110001", "01001000", "01011010",
				"01111010", "01011010", "01001010", "01001110", "00110001", "01100100", "00110110", "01100101",
				"00110011", "01100011", "00110110", "01100111", "01111000", "01100101", "01101011", "01111001",
				"00110001", "01110100", "01011010", "01101010", "01110110", "01001111", "01110000", "01100011",
				"01100001", "00101111", "01110001", "00110010", "01100100", "01100101", "01101100", "01000010",
				"01110101", "01100111", "00110001", "00111001", "01111010", "01101100", "01101101", "01110001",
				"01011000", "01010011", "01100010", "01111010", "01100111", "01100010", "01010101", "00111000",
				"01111010", "01000110", "01110100", "01100010", "01001000", "01101000", "00110000", "00101011",
				"00110100", "00101011", "01001100", "00101111", "00110001", "01010010", "01001111", "01100100",
				"01010010", "01111000", "01101111", "01110111", "01010111", "01110101", "01001111", "01001000",
				"01110101", "01101001", "01110110", "00110000", "01010010", "01101100", "01001000", "01010010",
				"01101100", "01101011", "00110100", "00110101", "01001010", "01100110", "01001101", "01010001",
				"01100100", "01101101", "01001110", "00101111", "01010110", "01101001", "01101101", "01100110",
				"01100010", "00110110", "00110000", "01010111", "01001111", "01001110", "00110010", "01110010",
				"01010101", "01000100", "01110111", "01111000", "01110010", "00110111", "01110001", "00110101",
				"01110001", "01100101", "01100010", "00111000", "01101110", "01110011", "01100001", "01110110",
				"01100101", "00110101", "01100100", "01110010", "01001100", "00110010", "00111001", "01001010",
				"01011010", "01100011", "01010101", "00101111", "00111000", "01110101", "01111001", "01100001",
				"01100011", "01011010", "01101100", "01110101", "00110011", "01000011", "00110100", "01010101",
				"01010110", "01010010", "01101100", "01000101", "01100100", "01010011", "01000001", "00110100",
				"01000011", "00101111", "01011010", "01001101", "01110100", "01101001", "01100011", "01110010",
				"01110101", "01101010", "01101111", "00110111", "01001100", "01100100", "01101100", "01011001",
				"00110011", "00110011", "01010000", "01011001", "01000101", "00111000", "01111001", "01001011",
				"01110000", "01110100", "01010110", "01101101", "01100011", "00101011", "01110010", "01100110",
				"01101101", "01101000", "01100011", "01110001", "00111000", "01000010", "01111001", "01110100",
				"01100010", "01110011", "01010101", "00110000", "00101011", "00111000", "01110011", "01001111",
				"00110011", "01100100", "00110110", "01000111", "00110011", "01101111", "01100010", "00110010",
				"01010101", "01110110", "01000101", "01010010", "01001001", "01000101", "01110111", "00101011",
				"00110110", "01110011", "00111000", "01100101", "01111001", "01101010", "01011010", "01000110",
				"01101001", "00110100", "00110010", "01001010", "00110011", "01100011", "01001010", "00101111",
				"01001111", "01101101", "01010010", "00110101", "00110000", "01010000", "01100110", "01110110",
				"01110001", "01000001", "01110010", "01110101", "01000011", "01111010", "00101111", "00110000",
				"01010110", "01110010", "01100011", "00101011", "01010000", "00101011", "00110010", "00110100",
				"00110010", "01111010", "01101010", "01101011", "01101001", "00110001", "01100110", "01001011",
				"01100010", "01110110", "01100010", "01010011", "01100001", "01101101", "01001110", "01101101",
				"01010011", "01000010", "01001000", "01101101", "01001000", "01101000", "01001011", "00110100",
				"01010000", "01010110", "01001111", "01011001", "01101001", "01010011", "01011001", "01010101",
				"01110000", "01001110", "01101000", "01000110", "01101010", "01101111", "01100010", "01110101",
				"01010101", "01110101", "01001000", "01010000", "01111010", "01110011", "00101011", "01101111",
				"00110111", "01110101", "01010001", "01111000", "01001110", "00101111", "01000110", "01010001",
				"01111001", "00110011", "00110011", "01101011", "01011010", "01010000", "01010011", "00110101",
				"01101000", "01110101", "00110110", "00110010", "01000001", "01001100", "00101011", "01010100",
				"01001011", "01001011", "01101110", "01110100", "01100100", "01111001", "01000010", "01110001",
				"00110000", "01101011", "01010000", "01010111", "01110100", "01101001", "01010011", "01101111",
				"01000011", "00110100", "00101011", "01111000", "01010010", "01100101", "01011000", "00110101",
				"01111001", "01001101", "01101101", "01110111", "00110110", "01101000", "01101110", "01100111",
				"00110111", "01011010", "01100110", "01000100", "01000111", "01001011", "01001000", "01100100",
				"00110111", "01010110", "01101000", "01010110", "00110101", "00110110", "01010100", "01101110",
				"01100110", "01110010", "01110011", "01011000", "01000111", "01001001", "01110011", "00111000",
				"00110111", "01110101", "01100010", "01010110", "01101010", "01101000", "01110011", "01010110",
				"01100101", "01010011", "01001111", "01110010", "01010111", "01100110", "01000001", "00110001",
				"01110110", "00110010", "00110111", "01110110", "01101110", "01010111", "01110101", "01110110",
				"01101001", "00111001", "01101011", "01010000", "01101010", "00110101", "01100111", "01110011",
				"01110101", "01000100", "00110001", "01010001", "01000110", "01101110", "01000111", "00101011",
				"01001100", "00110010", "01011000", "01100110", "01110110", "01101000", "01010111", "01100111",
				"01110000", "01010010", "01100101", "01111000", "01010111", "00110100", "01100101", "01101011",
				"00110110", "01110101", "01100010", "01111001", "01101001", "01110100", "00110110", "01101010",
				"01100001", "01001100", "00110101", "01011000", "01100001", "01001101", "01010111", "01011001",
				"01100101", "01110111", "00110101", "01001000", "01110111", "01000001", "01010010", "01001001",
				"01110010", "00110011", "00110101", "01110110", "01001001", "01000110", "01110011", "01101100",
				"01101000", "01100010", "01011000", "00110001", "01110101", "00110010", "00101111", "01000010",
				"01110101", "01101010", "01111001", "01001101", "01100110", "01001010", "00110010", "01010010",
				"01000011", "01000011", "01100100", "00111000", "01101001", "01101001", "01101010", "01110110",
				"01010011", "00110101", "01100111", "01110110", "00110100", "00101011", "01000101", "00111001",
				"01101101", "01101101", "00111001", "01010010", "01110001", "01001000", "01010110", "01001101",
				"01001011", "00111001", "01100110", "00110011", "00110110", "01101000", "01001101", "01100110",
				"01101001", "01010001", "01101010", "01110010", "00110011", "01001110", "00111000", "00110101",
				"01000011", "01100100", "00111000", "00110001", "01000101", "01101011", "01011010", "00110011",
				"01000100", "01001001", "01010110", "00110000", "00111000", "01001000", "01001001", "00110011",
				"01110001", "01001100", "01110000", "01010001", "01111010", "01001100", "01111001", "00101111",
				"00110011", "01010101", "01101001", "01110000", "01001101", "01101101", "00110010", "00111001",
				"01101011", "00110110", "01000110", "00110000", "00110110", "01101001", "01000111", "01111010",
				"01100111", "01101011", "01011000", "01010011", "01001110", "00110101", "01100011", "01011010",
				"01100100", "01100001", "01001001", "01000100", "01010111", "01011010", "01101111", "01010101",
				"01111000", "01010111", "01101111", "01100010", "01011001", "01100100", "01001000", "01000010",
				"01101001", "01010110", "01100001", "00110011", "01000111", "00110010", "01100011", "01111000",
				"01010101", "01100010", "00101111", "01100001", "01101010", "01000101", "01110001", "01110101",
				"01010001", "01001000", "01100101", "01100110", "01101101", "00111001", "01101011", "01110000",
				"01001000", "01010001", "00110111", "01110100", "01110100", "01100010", "01001111", "00111001",
				"01110000", "01101110", "01010011", "01001111", "01001101", "01111000", "01111001", "01101110",
				"01110010", "00110111", "00110010", "01111001", "01010100", "01010101", "01001001", "01000010",
				"01010000", "01000011", "01001000", "01101000", "01111001", "01110110", "01000101", "01110111",
				"00110011", "00111001", "01001000", "00110000", "01010110", "01000010", "01111001", "01101011",
				"00101111", "01101001", "01010001", "01101010", "00111000", "01010111", "01101011", "01100111",
				"00110001", "01010110", "01111000", "00110100", "01100101", "01110111", "01111001", "01100101",
				"01110000", "01001011", "01111000", "01000101", "01111010", "01000100", "00110010", "00111001",
				"01011000", "01010000", "00110001", "00110000", "01010100", "01110100", "01110100", "01010110",
				"01101110", "00110010", "01001000", "01010100", "01011000", "01010111", "01100011", "01011010",
				"01110111", "00110101", "00110001", "01101011", "01010000", "01110100", "01100110", "01010100",
				"01110011", "01000110", "01000010", "00110101", "01110011", "00101011", "00111001", "01101100",
				"01001111", "01010001", "01100001", "00110001", "01101010", "01110101", "01011010", "00110101",
				"01010110", "01101001", "00110011", "01111000", "01110100", "01111000", "00110111", "01100101",
				"01001101", "01110111", "01110010", "01010011", "01110101", "01001100", "01110100", "01010110",
				"00101111", "01110011", "00101011", "00110001", "01010011", "01000110", "01011010", "01010000",
				"01001101", "01000011", "01100010", "01000001", "01110101", "00110111", "01001111", "01000010",
				"01011000", "01111000", "01000110", "01001110", "01110101", "01100010", "01100111", "01000111",
				"00110100", "01011010", "01110101", "01111000", "01100011", "01001000", "01001101", "01010100",
				"01100111", "00110111", "01011001", "01001000", "01101001", "01101011", "01111010", "01111001",
				"01000110", "01110011", "01101000", "01100001", "01101110", "01110010", "01011000", "01010011",
				"01111001", "01000110", "01101111", "01100110", "01010011", "01110100", "01100111", "01110101",
				"01010100", "01011000", "01100011", "01101101", "00110111", "01110011", "01111001", "01110000",
				"01100001", "01000010", "01100100", "00110000", "01100110", "00101011", "01011010", "01101011",
				"00110110", "01100100", "01100110", "01111000", "01100101", "01000111", "01010100", "01001101",
				"00111000", "01011001", "01001011", "00110110", "01110011", "01000110", "01001010", "01000100",
				"01110100", "01001001", "01101010", "01101011", "00101111", "01001011", "00111000", "01001011",
				"00110101", "00110111", "00111000", "01011000", "01000001", "00110100", "01100110", "01110000",
				"01100111", "01101011", "01010100", "00110100", "00101011", "00111001", "01010001", "01010111",
				"01101000", "01110000", "01000001", "01101110", "01111010", "01100111", "01100100", "00110000",
				"01101000", "01111001", "01100010", "01100101", "00111001", "01100100", "01100111", "01110000",
				"01110110", "00110010", "01010010", "01011000", "01011000", "01011010", "01100110", "00110110",
				"00111000", "00101111", "01110010", "01001000", "01101011", "01001010", "01010010", "01011010",
				"01010101", "01000001", "01101111", "01110110", "01101011", "01100111", "00110100", "01100100",
				"00110011", "01101010", "00110100", "01111000", "01101111", "01101000", "01001001", "01010111",
				"01010011", "01101100", "00110110", "01101000", "00110111", "01011001", "01001011", "01101011",
				"00101011", "01001011", "01100101", "01100010", "01010101", "01010101", "01100100", "01100101",
				"01011001", "00110100", "00110111", "00110011", "01101111", "01101101", "01100111", "00111000",
				"01111010", "00110000", "00111001", "00110100", "01010000", "01100100", "01001111", "01100110",
				"01001011", "01111000", "01100011", "01100100", "01110001", "01101000", "01001001", "01110101",
				"01000100", "01100011", "00110111", "01011001", "01111001", "01100110", "01000011", "01101001",
				"01001001", "01000111", "00101111", "01110110", "01100001", "01100101", "01101001", "01010100",
				"00101011", "01010111", "01001111", "01000100", "01010101", "01010001", "01001110", "00110000",
				"01100100", "01111001", "01110010", "01000111", "00110000", "01110010", "00101111", "01000110",
				"01110110", "01000100", "01100010", "01001110", "00101111", "01010110", "00110000", "01101111",
				"01100011", "01000011", "01001101", "00110011", "00101011", "00110000", "01000100", "01001000",
				"00111001", "01100110", "01110001", "01000110", "00110100", "01101000", "01000011", "01101101",
				"01111010", "01110100", "01101111", "01110001", "00110101", "01110111", "01011010", "01100111",
				"01110010", "01100001", "01110000", "01010010", "01001100", "01110110", "00101111", "01100010",
				"01001001", "01100100", "01100010", "01001001", "01101000", "01010010", "00110000", "01100111",
				"00110010", "01100010", "01000110", "01011010", "01001100", "01110000", "01110010", "01001001",
				"01100011", "01110100", "00110011", "01101000", "01111000", "01111000", "00110000", "01101011",
				"01000110", "01110010", "01110111", "01001110", "00110101", "01001001", "01101011", "01100011",
				"01010110", "01001101", "01110011", "01001010", "01010101", "01110000", "00110010", "01100101",
				"01100011", "01001000", "00110001", "00101111", "01000010", "01000110", "01010001", "01111000",
				"01010000", "01011010", "01110010", "00110010", "00101011", "01001101", "00101011", "01010010",
				"01110100", "01101110", "01001001", "01100011", "01110110", "00111000", "01010001", "01101010",
				"01001011", "01001111", "01010001", "01111010", "01110100", "01110001", "01010011", "00111001",
				"00110101", "00111000", "01110100", "01010111", "01010100", "00110011", "00110011", "01000010",
				"01001001", "01100010", "01100101", "01001001", "01100101", "01010100", "00110110", "00111001",
				"01001001", "01100111", "01010111", "01010110", "01101011", "01001001", "00110100", "01111001",
				"01010101", "01110011", "01011010", "01000100", "01111000", "00110001", "01001010", "01101110",
				"01110101", "01101001", "01000100", "01111010", "01110110", "00101111", "01011001", "01101011",
				"00111001", "01110000", "01001001", "01011001", "01101001", "00110101", "01001100", "01110101",
				"01101001", "00110011", "00110111", "01001011", "00110011", "01001010", "01111010", "00111000",
				"01001100", "01011000", "00110111", "01111000", "01101111", "01001100", "01000011", "01010010",
				"00110100", "01101010", "01010000", "00101111", "01100011", "01010011", "00110100", "01100101",
				"01101011", "01010001", "01001101", "00110110", "01010010", "01010101", "01101111", "00110100",
				"01011010", "01010011", "00110111", "01010101", "01101011", "01110001", "01100111", "01110101",
				"01100001", "01110001", "00110110", "01000111", "01000100", "01001100", "01100001", "01001010",
				"00110100", "01111001", "01110001", "00111001", "01000001", "00110111", "01111000", "01000110",
				"01000001", "01101110", "01111000", "01111001", "01110110", "01110011", "01100011", "00101111",
				"01000001", "01010100", "01000110", "01001010", "01101111", "01010111", "01110110", "01110101",
				"00110100", "01101111", "01011000", "01110111", "01001001", "00110101", "01100010", "01101101",
				"01101000", "00110011", "01111001", "00110011", "01110110", "01101011", "01010101", "00110111",
				"01000101", "01010100", "01010001", "01110011", "01011001", "00110010", "01101101", "01110111",
				"01111000", "01001101", "01100011", "01000010", "01100100", "01101001", "01010000", "01110010",
				"01110111", "01101101", "01000001", "01110001", "01100100", "01111010", "01010001", "01010010",
				"00111000", "01100100", "01001111", "01010001", "01001111", "01111010", "00110101", "01000010",
				"01011010", "01001011", "01110111", "01110000", "01110000", "01001111", "00110111", "00110001",
				"01010001", "01100100", "01001010", "01101101", "01101110", "01010111", "01100001", "01010001",
				"00110011", "01110000", "01010111", "01000101", "00110101", "00111000", "00101011", "01101010",
				"01101111", "01110011", "01101101", "01000100", "00110011", "01010001", "01010000", "00101011",
				"01100010", "00101011", "01110011", "01001000", "01010010", "01100100", "01000001", "00110000",
				"01011010", "01010000", "00101111", "01110011", "01010111", "01010010", "01110111", "01101011",
				"00111000", "01100101", "00110001", "01101011", "01000101", "01101111", "00110100", "01101100",
				"01101011", "01111000", "01010001", "01010100", "01001011", "01110100", "01001011", "00101111",
				"01101110", "01000011", "01000010", "00110010", "01110101", "00110110", "00110110", "00110111",
				"01000101", "00101111", "01000010", "01101101", "01110101", "01110101", "01001011", "01101001",
				"01001111", "00110011", "01101101", "01110100", "01100011", "01110001", "01110101", "00101111",
				"01010001", "01000110", "01100110", "01100001", "00101011", "01101100", "01110101", "01011010",
				"01001001", "01101110", "01100111", "00110110", "01110010", "01011001", "00111000", "00110011",
				"01111010", "00110001", "00101011", "01110011", "01001101", "01010001", "01110100", "00110110",
				"01100010", "01010010", "00110111", "00111001", "01001001", "00110110", "01000100", "01001100",
				"01010010", "01101110", "01010110", "01010011", "00111001", "01100101", "01010100", "01000110",
				"01110000", "01001111", "01101001", "00110111", "01110001", "01110100", "01001111", "00110100",
				"01010010", "01110110", "01100001", "01110001", "00110101", "01000101", "01110110", "01010111",
				"01000010", "01001101", "01010010", "01100110", "01100101", "01110010", "00111000", "01100110",
				"01110011", "00111001", "01000111", "00110011", "01001001", "01110111", "01100011", "01100101",
				"01110110", "01110111", "01010101", "01010001", "01110111", "01101100", "01001111", "01010111",
				"01110101", "00101011", "01010010", "01010111", "01001010", "01000110", "01111010", "01101000",
				"01101011", "01001001", "00110110", "01001110", "01000001", "01111001", "01001010", "01010100",
				"01000100", "01001100", "01010001", "01000110", "00111001", "01100100", "01001110", "01011000",
				"01100100", "01110001", "01101110", "01110010", "01101010", "00110011", "01010101", "01111001",
				"00110010", "01110110", "01010011", "01110001", "01000100", "01110110", "00110011", "01010111",
				"00110111", "01010100", "00110101", "01110110", "01011000", "00110001", "01010101", "01100100",
				"00110000", "01010000", "01110011", "00101011", "01110100", "01101001", "01010010", "01011000",
				"01010010", "00101111", "01011010", "01010010", "01011000", "01111010", "01110000", "01001100",
				"01010001", "01011001", "00101011", "01110010", "01000001", "01000101", "01010010", "01001000",
				"00110111", "01011001", "01001010", "01110111", "01011000", "01010111", "01000110", "01000011",
				"01000011", "01101000", "01010110", "00110100", "01110001", "01110001", "01101010", "01011001",
				"01011001", "01000101", "01110100", "00110000", "01110001", "00110011", "01110100", "01110010",
				"01001101", "01111000", "01101001", "01010010", "01110111", "01010001", "01001001", "01001111",
				"01001010", "01110101", "00110100", "01101001", "00101011", "01010001", "01001011", "01001010",
				"01101001", "01111000", "00111000", "01000001", "01010011", "01010001", "01101011", "00110001",
				"01011000", "01100100", "01001111", "01010110", "00110110", "00111000", "01001011", "01101110",
				"01000001", "01101000", "01011010", "01100010", "01000100", "01101011", "01100100", "01100101",
				"01010110", "01001000", "01110011", "01101110", "01110000", "01110101", "01000011", "01011000",
				"01011010", "00110010", "01110010", "01100110", "01110111", "01101100", "00110110", "01100100",
				"01001011", "01110111", "01100110", "01110010", "01010000", "01110101", "01001110", "01101111",
				"01101001", "01101100", "01011010", "01111000", "01010101", "01110011", "00110010", "01001011",
				"01001101", "00111001", "00110100", "01110001", "01011000", "00110000", "01100100", "01101101",
				"01001010", "01101011", "00111000", "01001100", "00110111", "00110100", "00110110", "01001100",
				"01000100", "00110011", "00110011", "01101011", "01001111", "01111000", "01110010", "01000101",
				"01001110", "01110001", "00110011", "00110001", "00111001", "01001001", "01001010", "01111000",
				"01010010", "01011001", "01010011", "00111001", "01101010", "00110000", "01001010", "01001111",
				"01010010", "01110010", "01010111", "01010110", "01101100", "01100101", "00101111", "01001110",
				"01000011", "01110010", "01100110", "01000010", "00111000", "01100110", "01110110", "01111001",
				"00110011", "01101111", "01011000", "01100100", "00110111", "01110001", "00110010", "01001101",
				"01110011", "01011010", "01111000", "00101111", "01100101", "01010100", "01001100", "01100101",
				"01110010", "01011010", "01000001", "01101111", "01101001", "01010011", "01000011", "01100110",
				"01011000", "01101010", "01100011", "01000100", "00110000", "01011001", "01010111", "01100011",
				"01111001", "01100010", "01101110", "01000010", "01101011", "00110110", "01001001", "01110100",
				"01111000", "01101010", "01110001", "01001011", "01100001", "01000111", "01001001", "01100001",
				"00110011", "01001001", "01010001", "01110110", "01101001", "01001011", "00101011", "01001001",
				"01001111", "00110001", "01101110", "01000011", "01100100", "01100100", "01110100", "01010100",
				"01111001", "01001100", "01101011", "01110110", "01100010", "01011001", "01111001", "01101001",
				"00110001", "00110010", "00110000", "01001001", "00110010", "01010101", "01100100", "01100111",
				"01001100", "01001011", "00110100", "01111001", "01100011", "01110011", "01100111", "00110001",
				"01100111", "01101011", "01110000", "00110101", "01010010", "01011010", "01101010", "01001001",
				"01010111", "01001101", "01110000", "00110001", "01101000", "01110110", "01101100", "01101100",
				"00101011", "00101011", "01100001", "01011001", "01001101", "01001111", "01110001", "01001111",
				"00110101", "01100111", "01001111", "01001010", "00110110", "01100110", "01101101", "01000010",
				"01000111", "01100110", "01101001", "00110100", "01110100", "01100100", "01001010", "01110111",
				"01010011", "00110111", "00110111", "01001001", "01000100", "01000111", "01000011", "00111000",
				"00111000", "00110110", "01001101", "00110010", "01001100", "01010011", "01000011", "01110100",
				"01101011", "01101010", "01101101", "01101100", "01011001", "01110010", "01101111", "01110000",
				"01101100", "01011001", "01101010", "01101000", "00110000", "01000001", "01101101", "01010111",
				"01010010", "00111001", "01111001", "01101111", "01111001", "01110011", "01100100", "01101001",
				"01001100", "00110001", "00111000", "01110110", "01001110", "01100111", "01110110", "01101100",
				"00110010", "01010111", "01010111", "01010100", "01111010", "01100101", "00111000", "00101111",
				"01000010", "01010101", "00111000", "01101100", "01010000", "01011001", "01101110", "01100101",
				"01001001", "01000001", "01010100", "01100010", "01101111", "00110111", "01101010", "01001111",
				"01110110", "00110010", "01100011", "01111001", "01101011", "01001111", "00101011", "01010001",
				"01001101", "01011010", "01101000", "01110101", "01101011", "00110110", "01001101", "00110001",
				"01100001", "00110000", "00110010", "01110010", "01010100", "01001001", "00110000", "01001101",
				"01110101", "01101010", "01110100", "00111001", "00101011", "00101011", "00110110", "01101101",
				"01110100", "01100111", "01100010", "01001000", "01100010", "00110001", "01110011", "01111001",
				"00110000", "00110110", "01001100", "01001110", "01010000", "01100010", "01111010", "00110110",
				"01110011", "01110111", "01110010", "01010110", "01010000", "01100100", "00110000", "01100011",
				"01101010", "00110100", "01010110", "01101111", "00110000", "01110001", "01100100", "00101111",
				"00110010", "01110101", "01110000", "01010010", "01000111", "01100110", "01011001", "01101011",
				"01110001", "01001010", "01110011", "01011001", "01111010", "01100001", "01010101", "01101110",
				"01010101", "01110110", "00110110", "01111010", "00110000", "01110110", "01100111", "01000110",
				"01010010", "01100010", "01101001", "01110101", "01010000", "01010101", "01001100", "01001111",
				"01001110", "01000100", "00101011", "00111001", "01111010", "01011000", "01101111", "01101101",
				"01010100", "00110000", "01000011", "01101010", "01001010", "00110111", "01110100", "01110010",
				"01011000", "01111000", "01001101", "01101010", "00110000", "01110000", "01110011", "01000111",
				"01110101", "01010100", "01001111", "01101111", "01000010", "01101000", "01100111", "01011010",
				"01000100", "01100100", "01010100", "01010100", "01110101", "01101010", "01001111", "00111001",
				"01010011", "00110011", "01010000", "01101110", "01001000", "01111001", "01010010", "01001110",
				"01011010", "00110000", "01010101", "00101111", "01001101", "00110011", "01001100", "01001111",
				"00110110", "00110100", "01001110", "01100010", "01001011", "01110111", "01100111", "01001001",
				"01110100", "00110001", "01100111", "00110101", "01101111", "01110110", "01000101", "01001010",
				"01001111", "01010100", "01000110", "00110110", "01001000", "00101111", "01100001", "01100110",
				"01101000", "01010001", "01100100", "01110011", "01010110", "01100110", "01000110", "01001100",
				"01101011", "01010001", "01100001", "01101111", "01001111", "01110110", "01111010", "00110001",
				"01010111", "01101111", "01110110", "01101001", "01010100", "01101101", "00110011", "01000011",
				"00110001", "00111001", "01010111", "01100011", "00110111", "01111000", "00110001", "01010011",
				"01100010", "01001100", "01100011", "01101100", "01001101", "01000011", "01100100", "01001101",
				"00110010", "01101001", "01110000", "01001001", "01001000", "01110001", "01110000", "01100011",
				"01010101", "01100100", "01110011", "01100011", "01001010", "01010011", "01110000", "00101111",
				"01001001", "01001100", "01011010", "01101101", "01001011", "01100001", "01101100", "01100100",
				"01110111", "01010100", "01001011", "00110000", "01100011", "01010011", "01101100", "00110010",
				"00110110", "01001001", "01001111", "01101100", "01100111", "01101101", "01101011", "01010011",
				"01010010", "01111001", "01101011", "01000101", "01001001", "01100001", "00111000", "01101010",
				"00110101", "01001110", "00110010", "01000111", "01000111", "01001010", "01110001", "01110011",
				"01000110", "00110011", "01011010", "01001001", "01001110", "01000100", "01101110", "01100011",
				"01100001", "00110000", "00110010", "01010101", "01110110", "00110001", "01111010", "01110101",
				"01000110", "01010010", "01101001", "01100011", "01110011", "01000111", "01010101", "00110010",
				"01101110", "01110101", "01100001", "01011001", "01001110", "01010000", "01001010", "00110101",
				"00110101", "00110101", "01010111", "01000001", "01100111", "01001101", "01001010", "01110000",
				"00110110", "01100111", "01010000", "01010001", "01110100", "01101110", "00101011", "01010101",
				"01101111", "01000111", "00101011", "01010111", "01111010", "01000100", "01100100", "01100101",
				"00110000", "01100011", "01101101", "01110111", "01010100", "00101111", "00110111", "01100100",
				"01011010", "01100010", "01011010", "01000101", "01010100", "01001000", "01101000", "01111001",
				"01011010", "01001100", "01101100", "01111000", "01100110", "01010111", "01110010", "01110000",
				"01010010", "01011010", "01110011", "00101011", "00110000", "00111001", "01110111", "01100011",
				"01100111", "01010110", "01101111", "01001000", "01111001", "01101011", "01110001", "01100010",
				"01111010", "01000110", "00110010", "01100001", "01111001", "01110010", "01101010", "00110101",
				"01001011", "01010100", "00101011", "00101011", "01111000", "01001110", "01010010", "01101001",
				"01010010", "01110101", "00110010", "01001101", "01110101", "00110011", "00101111", "01001010",
				"01101001", "01101110", "00110010", "01100111", "01010000", "00110100", "01100010", "01110000",
				"01001010", "01001111", "01000101", "00111000", "01100110", "00110010", "01100011", "00111001",
				"00110111", "01101100", "01110000", "01100100", "01010101", "01100101", "01100101", "00110000",
				"00111001", "01001111", "01111001", "01101110", "00110110", "01111000", "01010100", "01100011",
				"01001000", "01100001", "01101110", "01001100", "01101100", "01111010", "01110010", "00110010",
				"00110001", "01001000", "01110010", "01110001", "01010001", "01110011", "00110110", "01101001",
				"01100100", "01110100", "00101111", "01101001", "01110010", "01010111", "01010101", "00111000",
				"01111000", "00111001", "01101011", "01100010", "00110010", "01110101", "01111000", "01111000",
				"00111000", "01011000", "01001111", "01101101", "01100001", "00110100", "01110110", "01000100",
				"01001101", "01110000", "01010100", "01100010", "00110110", "01110010", "01011000", "01010100",
				"00110000", "01000011", "00111000", "01000111", "01100010", "01101101", "01101110", "01101000",
				"01000111", "01000011", "01011001", "01010100", "01110110", "00101111", "01010000", "01010010",
				"01010001", "01110000", "01011000", "01101010", "01100001", "01110011", "00101011", "01011001",
				"01010010", "00110101", "01111010", "01100111", "01001111", "01001110", "01011000", "01110000",
				"01100101", "01110001", "00101011", "01101001", "01000110", "01101001", "01010011", "01100011",
				"01111000", "01111001", "01100110", "01101100", "01001000", "00101011", "00111001", "01111000",
				"00111000", "01100100", "01000010", "00111001", "01111000", "01100100", "01001101", "01000001",
				"00110100", "01001011", "01110001", "01001001", "01001100", "01010010", "01010100", "01001100",
				"01000100", "00110011", "01010110", "01110010", "01100010", "01110111", "00110111", "01110101",
				"01001010", "01000110", "01101011", "01110001", "00110101", "01110101", "01001010", "01001101",
				"00111000", "01110010", "01111010", "01101010", "01010000", "01010011", "01000100", "01001010",
				"01100111", "01100010", "01110011", "01011000", "01010110", "01010010", "01111000", "01101010",
				"00110100", "01100110", "00110111", "01001011", "01011010", "01010101", "01101001", "01010001",
				"01110001", "01010011", "01000010", "01101000", "01000110", "01100100", "01111001", "01111001",
				"01100100", "01001111", "01101101", "01110010", "00110000", "01110101", "01011000", "01100110",
				"00111000", "01000111", "01001011", "01001101", "01000101", "00111000", "01110011", "01110110",
				"00101011", "01110010", "01010011", "01011001", "01110011", "01110110", "01001010", "01101101",
				"01111010", "01000110", "00110010", "01111001", "01110010", "01011010", "01111000", "00101011",
				"01101001", "01010111", "01100111", "01010110", "01000101", "01010100", "01101100", "01110010",
				"01110101", "01011010", "01110111", "00110011", "01110100", "00110010", "00111001", "01001110",
				"01100010", "00110011", "01001110", "01110100", "00110101", "01000110", "01000101", "00110100",
				"01010111", "00111001", "01000100", "01000011", "01001111", "00110011", "01111000", "01110101",
				"01000001", "01101000", "01110010", "01001111", "01111001", "01111001", "01111010", "01100011",
				"01101111", "00110011", "01010110", "00110001", "01001011", "01101101", "01101101", "01001001",
				"01110100", "01010011", "00111000", "01101011", "01100101", "01011001", "01110101", "00101011",
				"01001001", "01001010", "01010110", "01010110", "01010000", "01010010", "00110101", "00110011",
				"01111000", "01101100", "01000010", "01100111", "01011001", "01000011", "01011000", "00110011",
				"00110110", "01010000", "01001011", "00110111", "01100101", "00110100", "00110100", "01101010",
				"00110100", "01001111", "00111000", "00110001", "01010000", "00111000", "00110111", "01101101",
				"01010000", "01001000", "01101001", "01010100", "01111001", "01000101", "01100111", "01100100",
				"01001000", "00110111", "01101000", "01010100", "01110000", "01110110", "00110110", "01101111",
				"00110101", "01000110", "01101001", "00101011", "01101001", "01101010", "00110000", "01000110",
				"01000101", "01101110", "01001100", "01100100", "01100111", "01101110", "01110010", "01101010",
				"00110011", "01100011", "01110011", "01110001", "00110000", "01110110", "00110110", "01001011",
				"01110111", "01010011", "01101011", "00110101", "01001011", "01100101", "01010111", "01110000",
				"01100110", "01000110", "01001100", "01100100", "01110100", "01011001", "01101101", "01000001",
				"01101011", "01100001", "00110010", "00110101", "01100001", "01010001", "01110001", "01100101",
				"01000111", "01110110", "01000011", "01001111", "00101011", "01010011", "01000111", "01100011",
				"01111000", "01101000", "01111000", "01110111", "01111000", "01100100", "01101001", "01110001",
				"00110010", "00110010", "01100100", "01011010", "01101100", "01100010", "00110111", "01101000",
				"01000001", "01100011", "01001100", "00110110", "01001101", "01101011", "01110000", "01001110",
				"01010100", "01101101", "01001001", "01011010", "01110011", "01010011", "01101111", "01100110",
				"01001111", "01000011", "00110101", "00110110", "01101110", "01000011", "01000011", "01100110",
				"01001110", "01101001", "01000010", "01101001", "00111000", "01010100", "01000101", "01010011",
				"01011010", "01011010", "01001110", "01100100", "01101001", "01111001", "01010101", "01110111",
				"01111001", "01001100", "01110001", "01100010", "01110000", "01001011", "01100010", "01100110",
				"01000010", "01110000", "01010100", "01010011", "00110001", "01010101", "00110000", "01000101",
				"00111000", "01111001", "01000111", "01011010", "01010011", "00111000", "00110001", "01100010",
				"01100010", "00110011", "01110110", "01101011", "01110110", "01000110", "01110111", "00111000",
				"01101101", "01110100", "00110101", "01011010", "01111010", "01101000", "01000010", "01111001",
				"01000101", "01111000", "00110101", "01110101", "01001110", "01001100", "01101001", "00110001",
				"01110010", "01111001", "01010001", "01110001", "01001111", "00111001", "01101100", "01100001",
				"01101100", "01110110", "01110101", "01001100", "01010010", "01100011", "00110100", "00111001",
				"01101010", "00110001", "00110001", "01010010", "00101011", "01100100", "00110001", "01010100",
				"01000010", "00110110", "01110110", "01000011", "01010010", "01000010", "00110010", "00110101",
				"01011001", "01010100", "01101110", "01100001", "01001000", "00110101", "00111001", "01011001",
				"01100101", "01101101", "01001100", "01101001", "01000101", "00110110", "01001110", "01001000",
				"01100101", "00101011", "01001001", "01010100", "01000010", "01010001", "01001011", "01100110",
				"01101011", "00110011", "00101111", "01000010", "01101000", "01001001", "01010100", "00110110",
				"01101011", "01111000", "01100011", "01001001", "01100100", "01011000", "01000111", "00110001",
				"01000011", "01001010", "01110011", "01010100", "01110000", "01010111", "00110010", "01100101",
				"01000110", "01110100", "00111000", "01101001", "01000110", "01001011", "01100010", "01010100",
				"01101100", "01000011", "01101001", "01101000", "01101010", "00110011", "01011001", "01011000",
				"01000100", "01011001", "01101111", "01110111", "00101011", "01111001", "01100111", "01100110",
				"01000100", "01101111", "01111001", "01111001", "01110000", "01100110", "01001100", "01000111",
				"01010001", "01101001", "01010110", "01111010", "01101110", "01001110", "01000100", "01010001",
				"01101100", "00111001", "01100100", "01010011", "01101000", "01000001", "00110100", "00110110",
				"01000111", "01110011", "01010000", "01101010", "01011001", "01111010", "01010000", "01010100",
				"00101011", "01011001", "01101110", "01100010", "01001111", "01000001", "00110010", "00101011",
				"01001001", "01101111", "01011001", "01000111", "01010101", "01101001", "01110011", "01100100",
				"01000011", "00111001", "01010111", "01111000", "01110100", "01010111", "00101011", "00111001",
				"01101110", "01010010", "01010001", "01010010", "01010110", "01001000", "01001110", "00101011",
				"00110001", "01000011", "01111010", "01111010", "01010000", "00110111", "01110111", "01100111",
				"01100011", "00110101", "01000100", "01010010", "01001111", "01010111", "01100011", "01111000",
				"01011000", "01000100", "00101011", "01111001", "01101000", "01010000", "01110110", "01101110",
				"00111000", "01010001", "01110000", "01001101", "01110111", "01001110", "00110010", "00110001",
				"01100010", "01001110", "01000011", "01101000", "01111010", "01011001", "00101111", "01000101",
				"01101000", "01100100", "01101100", "01110111", "01110001", "00111001", "00111000", "01101101",
				"00111001", "01000010", "01100001", "01110001", "01001000", "00110111", "00111000", "01111010",
				"01000011", "01100100", "01110110", "01001001", "01101100", "00110001", "01111000", "01101000",
				"01100010", "01111001", "00110010", "01100011", "00110100", "01001000", "01010101", "01110010",
				"00101011", "00110101", "01001001", "01101110", "01000101", "01010100", "01101001", "00111000",
				"01000110", "01111010", "01100110", "01010011", "01000011", "01000110", "01110100", "01001000",
				"00110111", "01001001", "01100001", "01111000", "01000111", "01100111", "01110101", "01000110",
				"00110101", "00110000", "01100110", "01110111", "01001010", "01000010", "01110101", "00101011",
				"01111001", "01111000", "01101011", "01111001", "01101110", "01011000", "01010010", "01101111",
				"01010101", "01101100", "00111001", "01101110", "01010110", "01010000", "01101010", "00110110",
				"01110000", "01110100", "01001011", "01101101", "01110101", "01001111", "01011000", "01100111",
				"00101011", "01011000", "01110011", "00101011", "00110111", "01101011", "01000100", "01010111",
				"01110001", "01001011", "01101101", "01011010", "01001100", "00110011", "01110001", "01000101",
				"01010101", "00110010", "01010100", "01101111", "01000110", "01010000", "01101010", "01110000",
				"01000010", "01000011", "01100110", "01101101", "01001101", "00110111", "01001010", "01000111",
				"01101001", "01110110", "01000101", "01100011", "01101110", "00110101", "01110000", "01100101",
				"01000100", "01100001", "00110000", "01011001", "01001000", "00101011", "00110110", "01001011",
				"01101001", "00101011", "01010001", "01111000", "01101001", "00110111", "01000001", "01111010",
				"01110000", "00101111", "01010101", "01011001", "01001111", "00110011", "01111001", "01010100",
				"01100101", "01101010", "01100101", "01100101", "01010100", "01101011", "01010000", "01111000",
				"01001011", "01101110", "01100001", "01100101", "01110101", "01001100", "01000111", "01110000",
				"00110001", "01010010", "01110011", "00110000", "01101010", "01100110", "01011001", "01110100",
				"01000100", "01011001", "01110100", "01000100", "01110101", "01101101", "01100011", "00111000",
				"01100011", "01101110", "01001010", "00110110", "01001011", "01110100", "01100111", "01110010",
				"01011010", "01010000", "00110001", "01101101", "01001010", "01010001", "00110100", "01110100",
				"01101110", "00110001", "01100011", "01010001", "00110000", "01001101", "01101010", "01000101",
				"01111010", "01100001", "01010001", "01000010", "01110100", "01000010", "01011010", "00101011",
				"01010000", "01100001", "00110101", "01110010", "01101100", "01110100", "01010011", "00110110",
				"01101110", "01110000", "01100110", "01000011", "00101111", "01001101", "01100011", "01100011",
				"01110110", "01101001", "01010101", "01101101", "01010101", "01000010", "01110011", "01011000",
				"01001111", "01100110", "01000110", "01010111", "00110111", "00110011", "01110000", "01110101",
				"01010100", "01110111", "00110110", "01000010", "01011010", "01111001", "00110111", "00110010",
				"01010101", "01001110", "00110011", "01000100", "01110010", "00110110", "01010100", "01000100",
				"01011000", "00110101", "01011000", "01101010", "01100011", "01000110", "01010001", "01101101",
				"00110110", "01101011", "00110011", "01100011", "00110110", "01101010", "01011000", "01011001",
				"01101110", "01000110", "01111010", "01101000", "01000111", "01011000", "01100111", "00110001",
				"01010010", "01101101", "01110010", "01101101", "00101111", "01011010", "01011001", "01111001",
				"01100011", "01110001", "01110001", "01101000", "01001000", "01101010", "01101000", "01011000",
				"01000010", "01010001", "01101101", "01000111", "00110011", "00110011", "01110110", "01101001",
				"00110011", "01010001", "01011000", "01001111", "01010110", "01100101", "01101110", "01110100",
				"01110011", "01100011", "01110010", "01110010", "01010100", "01100110", "01000101", "01101100",
				"01100001", "01010001", "00111001", "01100010", "01000111", "01001010", "01000011", "01101101",
				"01000100", "00110001", "01100111", "01101000", "00110011", "01101110", "01010111", "01110000",
				"01111010", "01110101", "00110111", "01000110", "01001100", "01111001", "01101000", "00101011",
				"01010001", "01101110", "01100101", "01100010", "01100010", "01001010", "01110101", "01111010",
				"01010101", "01001100", "00110001", "00110101", "01001101", "01100100", "00110101", "01001111",
				"01001101", "00111000", "00110001", "01001011", "01101110", "01010001", "01111010", "01010001",
				"00111001", "01100100", "01011001", "01000011", "01100111", "01100100", "00111000", "01001100",
				"01110000", "01101110", "01100100", "01001110", "00110010", "01110000", "00110011", "00110001",
				"00110000", "01110011", "01110010", "01001000", "01110001", "01100010", "01000111", "01101111",
				"01101110", "01101000", "01100010", "01110000", "01100100", "00111000", "00101011", "01001110",
				"00110111", "01100110", "01101001", "01101101", "01110101", "01001101", "01101110", "01010110",
				"01110110", "01001000", "01000011", "01011000", "01101100", "00101111", "01001011", "01001111",
				"01011001", "01101000", "01101101", "01101000", "01010111", "01110010", "01101000", "01100010",
				"01101011", "01010010", "01000111", "00111001", "00110010", "01100001", "01100001", "00111000",
				"01001101", "00101011", "01110101", "01101100", "01010010", "01101111", "01010001", "01111010",
				"00101011", "00110110", "00101011", "01010111", "01001011", "00110010", "01110011", "01101011",
				"00110011", "00110000", "01001110", "01101000", "01001010", "01110101", "00110100", "01010001",
				"01110101", "01110000", "01000110", "01001011", "00101111", "01101100", "01010101", "01011000",
				"00110110", "01001111", "01010001", "01101011", "00110101", "01010011", "01101110", "01101110",
				"01001001", "01010000", "01000100", "00110111", "01111010", "01110100", "01001100", "01101100",
				"01111001", "01010000", "01001000", "01000010", "01100100", "00110001", "01001001", "01011010",
				"01011000", "01001111", "01010011", "00110001", "00110010", "01101001", "00110001", "01100010",
				"00101011", "01010001", "01110010", "00110101", "01010101", "00101111", "01001111", "01100101",
				"01101110", "00110111", "01010001", "01110011", "01000100", "00101111", "01000010", "01001000",
				"01000111", "01110001", "00110100", "01000010", "01101000", "01001010", "01000110", "00110110",
				"00110011", "01010010", "01110010", "01010110", "01000110", "01010100", "01000101", "01010000",
				"01101000", "01110110", "01011010", "01001101", "01101001", "01100001", "01101100", "01111000",
				"01110111", "01011000", "00110101", "01111000", "00110000", "01011000", "01000110", "01010101",
				"01110110", "01110110", "01001010", "01111010", "01100100", "00110010", "01100110", "01101110",
				"01101011", "00111000", "01010101", "01100001", "00110100", "01110111", "01100110", "01010000",
				"00101011", "01100101", "01000111", "01001101", "01000010", "01100011", "00111000", "00101111",
				"01010011", "00110011", "01110000", "01001100", "01011000", "01110000", "01011010", "01010111",
				"01010000", "00110100", "01101001", "01010001", "01100110", "01110011", "01001111", "01010010",
				"01100101", "01101101", "01100001", "01101111", "01110110", "01100111", "01010111", "01011010",
				"01000011", "01000001", "00110001", "01010111", "00110111", "01000010", "01110101", "01110001",
				"01011001", "01111000", "01100011", "01100011", "01111000", "00110111", "01010100", "01000101",
				"01101000", "00110011", "01110101", "00101011", "01110111", "01011000", "00110110", "01010011",
				"01100101", "01001010", "01000001", "01101110", "01001110", "01110010", "01111001", "01010001",
				"01100010", "00111001", "01000010", "01010001", "01010000", "01001110", "00110010", "01110100",
				"00110011", "00110110", "01100110", "01101001", "00101111", "01110100", "01100001", "01101000",
				"01010101", "01110011", "01010011", "01110001", "01110010", "01010110", "01100100", "01101100",
				"01101000", "01001001", "01010010", "01000011", "01100101", "01011001", "01011010", "01111001",
				"01001011", "01000110", "01001100", "00110110", "01000011", "01000111", "01001110", "01100101",
				"01101111", "01100001", "01011010", "00111000", "01001110", "01110001", "01110111", "00111001",
				"00111001", "01011001", "01110001", "01101001", "00101111", "00110110", "00110110", "00110001",
				"01111010", "01101010", "01110101", "01000111", "01101001", "01001011", "01010001", "01110101",
				"00110001", "01111000", "01100001", "00101111", "01110001", "00110100", "01101100", "01101000",
				"01111001", "01010010", "00111001", "01001011", "00110011", "01110100", "00111001", "01100110",
				"01000011", "01000111", "01100001", "01100110", "01100010", "01100011", "01101110", "01010100",
				"01111000", "01010011", "01101110", "01100101", "01001100", "00110111", "00110111", "01101100",
				"01000111", "01101010", "01101111", "01110101", "01110010", "01001110", "00101011", "01001101",
				"01100100", "01111000", "01101000", "01101000", "01000101", "01000111", "01100011", "01011000",
				"01000111", "01101110", "01000100", "00111000", "01010001", "01110111", "01111001", "01010001",
				"00111001", "01101111", "00110101", "01000100", "01001010", "01111001", "00110001", "01100001",
				"01011000", "01010000", "01011010", "01011010", "00111000", "01000011", "01101010", "01110011",
				"00110000", "01100110", "01101111", "01110100", "00101011", "01110111", "00110111", "00111001",
				"01101011", "01100100", "01010101", "01110101", "01111001", "01101011", "01110000", "00110010",
				"01010101", "00110000", "01000101", "01101011", "00110000", "01110011", "00101111", "01101100",
				"00110100", "00110110", "01011010", "01100001", "01011000", "01010011", "01000001", "00110011",
				"01110110", "00111000", "01000100", "01101110", "01000011", "01111000", "01000011", "01000101",
				"01000010", "01110100", "00101011", "01000001", "01011001", "01110111", "01100110", "00110010",
				"00110110", "01100100", "01100100", "01000011", "01000011", "01010101", "01110111", "00111000",
				"00101011", "01001011", "01000110", "01101100", "01100101", "01110110", "01011010", "01110101",
				"01000011", "01001111", "01000100", "01111000", "01110100", "01110001", "01010000", "01000110",
				"01111001", "01110101", "01101100", "01100010", "00110100", "00110111", "01001111", "01101100",
				"01100100", "01111010", "01100010", "01101110", "01010010", "01101001", "00111000", "01010101",
				"01110000", "01110101", "01000110", "01100010", "01010111", "01100010", "01010100", "01110111",
				"01100100", "00101011", "01000110", "01010000", "01010011", "01110000", "01100010", "01110100",
				"01110110", "01000100", "01111010", "01010010", "01010110", "01001110", "01000110", "01101110",
				"01010011", "01101000", "01011010", "00110110", "01101111", "01001011", "01000100", "01010001",
				"01100011", "01110001", "01010111", "01001110", "01000111", "00110011", "01000001", "00110101",
				"01010001", "01001100", "01001111", "01001101", "01111010", "01100001", "01111001", "01101101",
				"01010111", "01001110", "00110011", "01100110", "01100010", "01111010", "00111000", "01100010",
				"01101111", "00110110", "01010111", "01101111", "01110001", "01100011", "01101010", "01101101",
				"01101101", "01110110", "00101111", "01100001", "00110001", "01110110", "00101111", "01010000",
				"00110100", "01101011", "01101101", "01101111", "01011001", "01010111", "01101110", "01101010",
				"01011000", "01001111", "01110111", "00110001", "00101011", "01110010", "01100110", "01000011",
				"01110011", "01111001", "01110011", "01101111", "01110110", "01010111", "01101110", "01000101",
				"01110000", "01101000", "01110011", "01001011", "01011000", "00110111", "00110000", "01100110",
				"01001110", "00110100", "00111001", "01110100", "01010101", "00110000", "00110011", "01101001",
				"01000101", "00111000", "01010001", "01001010", "01101000", "00110011", "01000110", "01100010",
				"01001111", "00110111", "01100111", "00110110", "01101111", "01001000", "01011000", "01001101",
				"01101100", "00110100", "00110010", "01001001", "01010101", "01110111", "01000011", "01000010",
				"00110111", "01100101", "01001110", "01011010", "01101001", "01110100", "01110000", "01000010",
				"01110011", "01011010", "01011000", "01100001", "01000010", "01101110", "01110100", "01110110",
				"01001011", "01000011", "01001011", "01010000", "01010000", "01100010", "01010001", "01101110",
				"00110101", "01010110", "01100010", "01001101", "01100011", "01001101", "01010010", "01000001",
				"01110100", "01111010", "00110001", "01000100", "01101111", "01111001", "01100011", "01011010",
				"00111000", "01110100", "01110100", "01001010", "01101110", "00111000", "01100100", "01100101",
				"01001001", "01100011", "01010100", "01001001", "01110101", "00101111", "00110110", "01001010",
				"01100110", "01000111", "01101110", "01110101", "00110011", "01101101", "01110111", "01001000",
				"01011001", "01011000", "01010110", "01001110", "01001001", "01011010", "01100001", "01110001",
				"00101011", "01011010", "01001000", "01110110", "01110010", "01000101", "01000001", "01001110",
				"01111000", "01100110", "00110100", "00111000", "00101011", "00110010", "01100110", "01010010",
				"00101011", "01010100", "01001110", "01001101", "00110001", "00110100", "00110010", "00110000",
				"01101101", "01001110", "01010101", "01101000", "01101011", "01010110", "01110100", "01010010",
				"01101111", "00110000", "01101111", "01010100", "01101010", "01101110", "01110010", "01110110",
				"01101000", "01110101", "01000100", "01010000", "01110110", "00110101", "01101000", "01110101",
				"01111010", "01101111", "01101001", "01010010", "01100101", "01010000", "01100100", "01010111",
				"01110010", "01010011", "01000110", "01101011", "01100100", "00101011", "01101001", "01100110",
				"00101111", "01100011", "01100110", "01110000", "01001100", "00101111", "01110000", "01001011",
				"00101111", "00110101", "01000011", "00101111", "00110101", "01010011", "00101111", "00110101",
				"00101111", "01111001", "01001000", "00111000", "01000010", "01100010", "01011010", "00110000",
				"00110100", "01001010", "01000001", "01000001", "01101111", "01000001", "01000001", "01000001",
				"00111101" };
		// to decimal arrays
		int[] decimalStrs = new int[binaryStrs.length];
		for (int i = 0; i < binaryStrs.length; i++) {
			decimalStrs[i] = Integer.valueOf(binaryStrs[i], 2);
			// System.out.println(decimalStrs[i]);
		}
		// to ascii
		StringBuffer sb = new StringBuffer();
		for (int i = 0; i < decimalStrs.length; i++) {
			// System.out.println((char) decimalStrs[i]);
			sb.append((char) decimalStrs[i]);
		}
		System.out.println(sb.toString());

		BASE64Decoder decoder = new BASE64Decoder();
		try {
			String result = new String(decoder.decodeBuffer(sb.toString()));
			System.out.println("cool! this is the result:" + result);
			System.out.println(result);
		} catch (IOException e) {
			e.printStackTrace();
		}

	}
}


代码随便写的,那个BASE64Decoder,我要导入sun.misc.BASE64Decoder的,结果eclipse抽风了,一直导不进去,后来用了别的api下的,

不知道一样不,不过后来也没有用自己解密的结果,只是用了,输出的base464加密的结果.



感觉自己真的是一个无聊的光棍,:)大笑





  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值