1、Vivado 安装及其破解

本博客纯粹为了今后实验室入坑小师弟们写的,也方便我交接砖头。

我开始做FPGA只是为了老板项目将FPGA做背光控制器。当时发现单片机速度不够,又不能同时输出信号。现在入坑后发现FPGA功能强大,我简直在暴殄天物。为了今后复习的需要,加上听朋友说写博客有点意思,故开始写。

但是讲究完整性。所以我从一开始的Vivado安装步骤讲起。之前一直用ISE开发板,用经典的Spartan 6芯片做开发,所以ISE安装就不讲了。从我现在学习的ZYNQ需要用到的Vivado安装开始说。

 

看来Vivado不能跟ISE安装在同一路径下,开始为了图文件管理的方便就偷懒了,没有新建文件。

 

安装过程:首先打开文件夹G:\FPGA_Learn\vivado\Xilinx_Vivado_SDK_2015.4_1118_2

点击我的教程是用2015.4版本的,先安装这个,等之后学会了随便安装想要的版本。

我不喜欢将软件装在C盘,所以我改为

点安装后,就慢慢等待吧

 

可以趁安装的时候看看书或者看看教程。。。。。。。。。。。。。。。

听说Vivado现在是赛灵思公司全力推荐的不知道有多牛,看看比ISE强大了多少。

看书看了快一个小时还没有装好。。。。实验室的老年机真的应该换新的了。最近在看鸟哥的私房菜,虽然是搞硬件的但也要会Linux。。。这样才能搬更多的砖

 

弹出来个问题。。。。上网查查

Visual C++没有装。。。点击修复还是卸载都没有用的

可以安装DirectXRepair  修复后在打开

 

之后就是破解

 

  • 39
    点赞
  • 161
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值