BT1120接口及协议

        因为项目上用到的是 海思3536的bt1120接口对接 FPGA。项目上用到的是 1920 x 1080@60Hz. 和 3840 x 2160@30hz.

首先讲一下 1920X1080@60HZ,这里走bt1120接口,走的是单边沿。下面 具体讲一下。

      

       

 上图看到, BT1120的接口 有 16根 数据线 和 一根 时钟线。

下面说一下接口的时序及说明。

对于一帧视频图像,有视频帧消隐区和 行消隐区。这些概念首先得明白,才能往下看。

上图我们看到视频中的某一帧的状态。L1 和 L2 是帧消隐区, 同样  L5 和 L6也是帧消隐区。我们看看下图,来说明各个参数的意义。

从上图我们看到了再 L3 和 L4行之间才是真正的有用的 1080行视频数据。

下面我们看看每行的数据的格式:

 



由上面的格式, 我们可以看到每一行在视频传输过程中的格式。在定时基准码的前 3个字节是恒定的。 FF , 00 , 00, 第四个字节 XY是我们需要分析的。我们再好好分析下,EAV和SAV 应该填的数据。



通过以上几个图片,就应该可以知道 EAV 和 SAV可以填什么数据了。也知道 BT1120每行的数据格式。



至于 3840 x 2160@30hz走的是 BT1120的双边沿。

格式是



这里 XY的值 和 单边沿是一样的。只是速率提高了一倍。这样就可以通过 BT1120传输 4k的视频。


BT1120是一种视频串行传输协议,用于将视频数据从一个设备传输到另一个设备的接口,同时还能传输同步和控制信号。BT1120 Verilog是使用Verilog语言编写的BT1120接口的设计和实现。 Verilog是一种硬件描述语言,它可以用于描述和设计各种数字逻辑电路和系统。在BT1120的设计中,Verilog被用来描述BT1120接口的功能和行为。 BT1120 Verilog的设计过程主要包括以下几个步骤: 1. 确定BT1120接口的功能和传输要求。BT1120接口通常包括数据传输线、时钟线、帧同步信号和控制信号等。 2. 根据接口的功能要求,定义和描述Verilog模块。Verilog模块是用于实现接口的基本单元,可以包括输入输出端口、状态机、寄存器和组合逻辑等。 3. 编写Verilog代码,描述模块的电路功能和行为。Verilog代码可以使用模块实例化、状态转换、计数器和多路复用器等结构,实现输入信号和输出信号的处理和转换。 4. 进行仿真测试和验证。使用Verilog仿真工具,对设计的Verilog代码进行功能和时序仿真,检查接口的功能是否符合要求。 5. 实现和布局。在FPGA或ASIC芯片上实现Verilog代码,将其编译成可执行的二进制文件。 6. 进行综合和优化。通过综合工具,将Verilog代码转换为硬件网表,进行逻辑综合和优化,以提高性能和减少资源占用。 BT1120 Verilog的设计和实现对于视频传输应用非常重要。它可以实现高带宽和高质量的视频数据传输,并且可以灵活地适应不同的视频格式和协议。通过使用Verilog语言,可以方便地对BT1120接口进行设计和开发,实现视频传输的各种功能和要求。
评论 25
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_807315755

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值