gray code encode and decode(上)

今天就不說太硬的概念。說一些比較實際的做法。

binary to gray code的verilog做法。

gray code是許多人遇過,而且很多人都會做,這裏有一個小小做法,簡單,而且不複雜,可以提供大家參考。

 

module bin2gray(
 bini
,grayo
);
input [4:0] bini;
output [4:0]grayo;

assign grayo = bin ^ {1'b0, bin[4:1]};

endmodule

 

 以下是3-bit binary 和gray code的對照。

000  <-> 000

001  <-> 001

010  <-> 011

011  <-> 010

100  <-> 110

101  <-> 111

110  <-> 101

111  <-> 100

 

有興趣的人可以試著推看看,是不是這樣子就可以做gray code?

下一回,我再把gray code to binary寫出來,跟大家分享。

 

转载于:https://www.cnblogs.com/orchid123/p/3564149.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值