自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(34)
  • 收藏
  • 关注

转载 Linux 命令后&的作用

cp $filename /dev/ && 代表非阻塞方式拷贝文件,如果不加& 则必须等到执行完该指令后才能执行后来的指令。转载于:https://www.cnblogs.com/YLuluuu/p/11189385.html...

2019-07-15 15:53:00 188

转载 输出目录名

ls -l /$path/ |awk '/^d/ {print $NF}' /^d/是一个正常的正则,用来过滤是目录(Directories)/^-/是一个正常的正则,用来过滤是文件(Files)/^l/是一个正常的正则,用来过滤是链接(Links)$NF 表示的最后一个Field(列),即输出最后一个字段的内容转载于:https://w...

2019-06-03 17:31:00 157

转载 组合逻辑和时序逻辑

根据逻辑电路的不同特点,数字电路可以分为:组合逻辑和时序逻辑。1 组合逻辑:组合逻辑的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原本的状态无关,逻辑中不牵涉跳变沿信号的处理,组合逻辑的verilog描述方式有两种:(1):always @(电平敏感信号列表)always模块的敏感列表为所有判断条件信号和输入信号,但一定要注意敏感列表的完整性。在always 模块中...

2019-05-21 11:42:00 210

转载 Vim合并行

日常常用到多行合并的功能,记录如下:第一种, 多行合并成一行,即:AAAAABBBBBCCCCC合并为:AAAAA BBBBB CCCCC方法1:normal状态下3J其中的3是范围,可以是书签或者搜索位置等方式实现,J为合并注: 如果改为3gJ的话,则合并时各行没有空白AAAAABBBBBCCCCC, 下面方法类似,不再重复这两种合并方式的区别.方法2: 命令状...

2018-08-27 14:28:00 647

转载 vim打开多窗口、多文件之间的切换

https://blog.csdn.net/derkampf/article/details/72629875打开多个文件:一、vim还没有启动的时候:1.在终端里输入 vim file1 file2 ... filen便可以打开所有想要打开的文件 2.vim已经启动 输入 :e file 可以再打开一个文件,并且此时vim里会显示出file文件的内容。 3.同时显示...

2018-08-13 14:16:00 59

转载 永久修改alias

永久修改aliashome目录下ls -a显示隐藏文件编辑./cshrc转载于:https://www.cnblogs.com/YLuluuu/p/9330858.html

2018-07-18 18:07:00 231

转载 技巧:Vim 的纵向编辑模式

原文:https://www.ibm.com/developerworks/cn/linux/l-cn-vimcolumn/开始之前人类大脑对文字的处理是平面式的,所以我们浏览文章、查找资料或者重构代码,要么是横向读,要么是纵向读,要么使用搜索功能,将文字作为一个平面整体。而在编辑文字、编写代码的时候则不是横向就是纵向。常规文本编辑器都是横向编辑,而纵向编辑也常被作为特色功能。比...

2018-07-18 15:08:00 98

转载 vim 替换

以下命令将文中所有的字符串idiots替换成managers::1,$s/idiots/manages/g通常我们会在命令中使用%指代整个文件做为替换范围::%s/search/replace/g以下命令指定只在第5至第15行间进行替换::5,15s/dog/cat/g以下命令指定只在当前行至文件结尾间进行替换::.,$s/dog/cat/g以下命令指定只...

2018-07-17 15:03:00 80

转载 Vim 不区分大小写

Vim 不区分大小写忽略:set ignorecase恢复:set noignorecase转载于:https://www.cnblogs.com/YLuluuu/p/9321749.html

2018-07-17 09:53:00 112

转载 find+xargs+sed批量替换

写代码时经常遇到要把 .c 和 .h的文件中的某些内容全部替换的情况,用sourceinsight 进行全局的查找是一个方法,但是sourceinsight只能替换一个文件中的字符串,不能同时替换多个文件中的同一个字符,在linux下 使用find,结合sed,xargs 可以实现这个功能。把当前目录下的所有.c 和 .h 文件中的 "waf"字符 全部 替换成 “tamper”...

2018-07-16 17:17:00 544

转载 csh

在*unix系统中,常用的shell有sh,bash,csh/tcsh, ksh.sh来自于systemV的Unix,是传统的Unix的shell,直到现在很多的系统管理员仍然喜欢使用sh。bash来自于BSD Unix,语法非常类似于C语言,所以通常有C/C++编程背景的开发人员最喜欢使用。ksh是对sh的扩展,且吸收了csh的一些有用的功能,但是由于开始ksh的lice...

2018-07-13 11:39:00 127

转载 linux awk命令详解

原文链接 :http://blog.chinaunix.net/uid-23302288-id-3785105.htmlawk是行处理器: 相比较屏幕处理的优点,在处理庞大文件时不会出现内存溢出或是处理缓慢的问题,通常用来格式化文本信息awk处理过程:依次对每一行进行处理,然后输出awk命令形式:awk [-F|-f|-v] ‘BEGIN{} //{comm...

2018-07-12 17:32:00 78

转载 修改权限

可执行 chmod +x 文件名转载于:https://www.cnblogs.com/YLuluuu/p/9298359.html

2018-07-12 10:53:00 85

转载 sed命令替换文件内容

reference:https://www.cnblogs.com/starof/p/4181985.html抓取目录名并修改ls | grep "XXX" > 1.txtsed命令下批量替换文件内容  格式: sed -i "s/查找字段/替换字段/g" `grep 查找字段 -rl 路径` 文件名-i 表示inplace edit,就地修改文件...

2018-07-03 15:22:00 264

转载 在Perl中使用Getopt::Long模块来接收用户命令行参数

我们在linux常常用到一个程序需要加入参数,现在了解一下 perl 中的有关控制参数的模块 Getopt::Long ,比直接使用 @ARGV 的数组强大多了.我想大家知道在 Linux 中有的参数有二种形式.•长参数 –help•短参数 -h也就是-和–的分别.–表示完整参数.-表示简化参数.在 Perl 的这个模块中也支持这二种方法.这要介绍的二 Getopt 其实有二个...

2018-06-21 15:15:00 145

转载 perl 不支持多条件比较

perl 不支持多条件比较,if(a < $var < b),这个条件表达式在C语言里面是支持的,但是在Perl中必须写成if(($var > a)&&($var < b))才能编译通过转载于:https://www.cnblogs.com/YLuluuu/p/9203759.html...

2018-06-20 14:54:00 137

转载 Perl读写Excel简单操作

Perl读写Excel简单操作使用模块Spreadsheet::ParseExcelSpreadsheet::WriteExcel读Excel#!/usr/bin/perl -wuse strict;use Spreadsheet::ParseExcel;use Spreadsheet::ParseExcel::FmtU...

2018-06-19 18:12:00 228

转载 Perl操作excel2007的模块

详细版:https://www.jianshu.com/p/84bda53827c8第一种方法:读写excel2007文档的perl模块:Spreadsheet::XLSX(读)和Spreadsheet::WriteExcel(写)一、首先安装包Archive::Zip--1.30Compress-Raw-Zlib-2.026这...

2018-06-15 16:01:00 291

转载 perl Encode模块的使用

编码问题是广泛存在的,只有正确的编码才能在不同的地方正确的显示内容。而在数据的获取和转移过程中,编码经常是很需要注意的问题。perl有功能很好的编码处理模块Encode。在程序里简单的use Encode就可以使用了。用到的方法主要是两个: 结果 = encode(编码方式a,要转码的字串) 结果 = decode(编码方式b,要转码的字串);encode的作用是把字串编码成...

2018-06-15 15:54:00 263

转载 Verilog的数据流、行为、结构化与RTL级描述

Verilog语言可以有多种方式来描述硬件,同时,使用这些描述方式,又可以在多个抽象层次上设计硬件,这是Verilog语言的重要特征。  在Verilog语言中,有以下3种最基本的描述方式:数据流描述:采用assign连续赋值语句行为描述:使用always语句或initial语句块中的过程赋值语句(推荐掌握)结构化描述:实例化已有的功能模块或原语  以...

2018-06-06 10:36:00 916

转载 verilog specify

specify block用来描述从源点(source:input/inout port)到终点(destination:output/inout port)的路径延时(path delay),由specify开始,到endspecify结束,并且只能在模块内部声明,具有精确性(accuracy)和模块性(modularity)的特点。specify block可以用来执行以下三个任务:...

2018-05-29 13:33:00 401

转载 查找并合并文件

find /xxx/xxx -name xxx.txt | xargs cat >> file.txt转载于:https://www.cnblogs.com/YLuluuu/p/9071710.html

2018-05-22 13:59:00 272

转载 spyglass DFT

SolvNet spyglassclock_11内部 generated clocks 在shift mode 不被 testclock 控制。FixView the Incremental Schematic of the violation message. The Schematic Viewer window shows the flip-flop on wh...

2018-05-22 11:10:00 1560

转载 Vim使用总结

Vim使用总结1、三种模式2、常用命令光标移动(Cursor Movement)命令作用(解释)h,j,k,lh表示往左,j表示往下,k表示往右,l表示往上Ctrl+f上一页Ctrl+b下一页...

2018-05-14 11:10:00 130

转载 verilog 条件编译命令`ifdef、`else、`endif 的应用

【摘自夏宇闻《verilog设计教程》】一般情况下,Verilog HDL源程序中所有的行都将参加编译。但是有时希望对其中的一部分内容只有在满足条件才进行编译,也就是对一部分内容指定编译的条件,这就是“条件编译”。有时,希望当满足条件时对一组语句进行编译,而当条件不满足是则编译另一部分。 条件编译命令有以下几种形式: 1) `ifdef 宏...

2018-05-11 17:35:00 188

转载 『转载』Debussy快速上手(Verdi相似)

『转载』Debussy快速上手(Verdi相似)Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做tra...

2018-05-09 11:36:00 374

转载 perl打开读取文件(open)

在Perl中可以用open或者sysopen函数来打开文件进行操作,这两个函数都需要通过一个文件句柄(即文件指针)来对文件进行读写定位等操作。下面以open函数为例:1:读:open(文件句柄,"<文件名")/open(文件句柄,"文件名"),前提文件必须已经存在,否则会返回0,出错信息在$!中。2:写:open(文件句柄,">文件名"),文件如果不存在,那么创建之,如果存在...

2018-05-08 17:46:00 972

转载 clock时钟

①时钟的偏移(skew):时钟分支信号在到达寄存器的时钟端口过程中,都存在有线网等延时,由于延时,到达寄存器时钟端口的时钟信号存在有相位差,也就是不能保证每一个沿都对齐,这种差异称为时钟偏移(clock skew),也叫时钟偏斜。时钟的偏移如下图所示:此外,时钟skew与时钟频率并没有直接关系,skew与时钟线的长度及被时钟线驱动的时序单元的负载电容、个数有关。②时钟抖...

2018-05-08 17:36:00 268

转载 Excel一对多查找

很多人在Excel中用函数公式做查询的时候,都必然会遇到的一个大问题,那就是一对多的查找/查询公式应该怎么写?大多数人都是从VLOOKUP、INDEX+MATCH中入门的,纵然你把全部的多条件查找方法都学会了而且运用娴熟,如VLOOKUP和&、SUMPRODUCT、LOOKUP(1,0/....,但仍然只能对这种一对多的查询望洋兴叹。这里讲的INDEX+SMALL+IF...

2018-05-08 17:13:00 362

转载 tcl概述

tcl,全名tool command language,是一种通用的工具语言。  1)每个命令之间,通过换行符或者分号隔开;  2)tcl的每个命令包含一个或者多个单词,默认第一个单词表示命令,第二个单词表示参数,      单词之间必须同空格或者tab键隔开;  3)tcl解释器的执行过程,分析阶段,对单词的拆分,同时进行必要的置换;             执...

2018-05-08 17:00:00 95

转载 Linux命令(二)

1、cd命令这是一个非常基本,也是大家经常需要使用的命令,它用于切换当前目录,它的参数是要切换到的目录的路径,可以是绝对路径,也可以是相对路径。如:cd/root/Docements#切换到目录/root/Docementscd./path#切换到当前目录下的path目录中,“.”表示当前目录cd../path...

2018-05-08 16:58:00 136

转载 Linux命令(一)

ps -ef | grep:列出相关进程。 -u name:列出某个用户的进程。top:查看某个或者某些进程信息。 -p +pid 某个进程du -sh:当前文件夹的大小。df -l:磁盘使用情况。du -h:当前文件夹及以下的文件夹的使用情况。quota:列出磁盘使用量mkdir -p /root/test/test 这样可以现在roo...

2018-05-08 16:48:00 127

转载 perl合并文件

使用Perl合并文件有时需要将整个目录下的小文件合并到一个文件中,以便查阅检索特性整个目录完全遍历,自动存入单个文件顺序遍历文件待合并的目录合并后的文件内容syscfg/test1 syscfg/test2 uart/uart7/uart7_dma1 uart/uart7/uar...

2018-05-08 16:23:00 432

转载 数字IC设计工程师的知识结构

刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要。然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构,想跟大家分享一下。I. 技能清单作为一个真正合格的数字IC设计工程师,你永远都需要去不断学习更加先进的知识和技术。因此,这里列出来的技能永远都不会是完整的。我尽量每年都对这个列...

2018-05-08 16:09:00 378

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除