VHDL if ( x =(x‘range => ‘0‘))

VHDL if ( x =(x’range => ‘0’))

VHDL中遇到VHDL if ( x =(x’range => ‘0’))这种语法,之前也遇到过,当时弄明白了,现在过了一段时间又忘记了,好记性不如烂笔头,还是要及时做笔记哟。

这句话的意思是如果x只包含0,那么此条件为true,就可以执行if后面的操作了。这是一种不依赖于x大小的写法。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值