自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(109)
  • 资源 (136)
  • 收藏
  • 关注

原创 基于51单片机智能鱼缸控制系统

/lcd1602初始化显示。//lcd1602初始化显示。void init_1602_dis_csf() //初始化液晶。void init_1602() //lcd1602初始化设置。//显示光标并且闪烁。//ds1302初始化。//ds1302初始化。//lcd1602初始化。//lcd1602初始化。

2024-03-19 21:27:25 1209 1

原创 基于51单片机火灾报警器设计

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。4、按键设置温度、烟雾报警值,测量值超过设置值蜂鸣器报警。//1602液晶初始化。1、本设计采用51单片机作为主控器。5、按键布防,有人闯入,声光报警。四、 proteus仿真设计。3、采集温度值,烟雾值。2、液晶1602显示。1、首先是系统初始化。

2024-03-18 21:33:41 1049

原创 基于51单片机 模拟简易自动自助售货机设计 智能售卖机系统

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。1、本设计基于STC89C51/52(与AT89S51/52、AT89C51/52通用,可任选),拍的时候要备注,不备注默认发STC89C52RC2、使用单片机设计,设有8个按键,3个硬币模拟按键(5元、1元、5角),5个货物选择按键,一块12864显示屏。//50ms太短,闪烁频率太快。//开启定时器 0 中断。7、按键模拟投币,有5元、1元、5角。

2024-03-09 10:58:39 962

原创 基于51单片机的万年历-心率脉搏计仿真及源程序-保存心率记录

/对应的按键IO口输出为1。//lcd1602初始化显示。if(timecount<8) //当连续两次检测时间间隔小于8。void init_1602() //lcd1602初始化设置。= 0xf8) //按键按下。//lcd1602初始化。//50ms计数清零。

2024-03-07 19:45:22 1029

原创 单片机AVR单片机病房控制系统设计+源程序

设计一个可容8张床位的病房呼叫系统。要求每个床位都有一个按钮,当患者需要呼叫护士时,按下按钮,此时护士值班室内的呼叫系统板上显示该患者的床位号,并蜂鸣器报警。操作视频BIBIBI:https://www.bilibili.com/video/BV1kN4y127f8/LCD_BUS_Direction_REG =0XFF;//LCD数据端口设置为输出。//LCD数据端口设置为输出。1、首先是系统初始化。//LCD端口初始化。//LCD端口初始化。

2023-11-30 20:38:34 282

原创 单片机霍尔测速系统设计+源程序

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。//en由高变低,产生下降沿,液晶执行命令。//en由高变低,产生下降沿,液晶执行命令。//拉高使能端,为制造有效的下降沿做准备。//en置高电平,为制造下降沿做准备。//判断按键是否释放。1、本设计采用52单片机作为主控器。//数据/指令选择置为指令。//数据/指令选择置为数据。0) //再次判断按键是否按下。2、霍尔测速送到液晶1602。四、 proteus仿真设计。1、首先是系统初始化。

2023-11-29 19:46:25 500

原创 基于单片机红外测温(mlx90614)-原理图-PCB设计+源程序

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。4、实际测量温度小于下限或者大于上限,蜂鸣器报警,大于上限,风扇启动,低于下限,风扇停止。2、mlx90614采集温度,液晶显示温度值。1、本设计采用52单片机作为主控器。四、 proteus仿真设计。3、按键设置温度上下限。1、首先是系统初始化。

2023-11-28 20:41:50 1195

原创 基于单片机病房呼叫程序和仿真

0))//如果有呼叫时。void data_1602(uc date) //写数据。void com_1602(uc com) //写命令。//定时器0工作方式1。bg_1602=0;void init() //初始化函数。void display() //显示函数。

2023-11-27 21:16:55 323

原创 基于单片机DHT11湿度测量与控制-CO2-光照报警系统程序和仿真

/向LCD写入命令或数据********************************************************//初始化LCD************************************************************LCD_SetInput(LCD_AC_UP|LCD_NO_MOVE);

2023-11-26 19:27:25 340

原创 基于51单片机超市快递寄存自动柜设计源程序

ifndef LCD1602_4PINS //当没有定义这个LCD1602_4PINS时。void Lcd1602_Delay1ms(uint c) //误差 0us。void one_data(uchar *str) //显示第一行数据。void two_data(uchar *str) //显示第一行数据。void LcdWriteData(uchar dat) //写入数据。void LcdWriteData(uchar dat) //写入数据。

2023-11-25 20:18:15 449

原创 基于单片机压力传感器MPX4115检测-报警系统proteus仿真+源程序

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。void delayxms(uint ms) //延时 x ms。2、MPX4115采集压力值、DS18B20采集温度值送到液晶1602显示。1、本设计采用这51单片机作为主控器。四、 proteus仿真设计。3、按键设置报警值。1、首先是系统初始化。

2023-11-25 19:59:51 1274

原创 基于51单片机倾角MPU6050老人跌倒远程GSM短信报警器+源程序

void shownum(uchar x,uchar y,int dat,uchar n) //x=行 y=列 dat 数据位。void write_string(uchar x,uchar y,uchar *s) //显示字符串。//转换为10位数据 1024。void LCD_Init() //初始化液晶时间显示。//转换为10位数据。//转换为10位数据。//转换为10位数据。//转换为10位数据。//转换为10位数据。

2023-11-24 15:06:01 468

原创 基于51单片机车载空调系统设计proteus仿真+源程序)

/ Delay函数每次循环延时16μs,因此delay(5) = 104μs。//指定字符显示的实际地址,,,显示位置的确定方法规定为"80H+地址码x"if(bitval==1) DQ =1;// 如果写1,DQ 返回高电平。{ // 读取字节,每次读取一个字节。i++) // 写入字节, 每次写入一位。//跳过读序号列号的操作。//设置开显示,不显示光标。//显示清零,数据指针清零。

2023-11-23 19:52:20 318

原创 基于单片机直流电机调速(proteus仿真+源程序)

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。void write_data(uchar date)//1602数据指令。void write_com(uchar com)//1602控制指令。TMOD=0x01;displaym();void keyscan() //键盘扫描函数。displaym();3、按键设加减速,开始暂停、正反转。

2023-11-22 15:54:52 1075

原创 基于单片机预费电表控制系统(proteus仿真+源程序)

/实践证明,我的LCD1602上,用for循环1次就能完成普通写指令。//实践证明,我的LCD1602上,用for循环1次就能完成普通写指令。//开显示,关光标,不闪烁。//延时清屏,延时函数,延时约n个10us。//设置8位格式,2行,5x7。

2023-11-21 20:47:12 772

原创 基于单片机电梯液晶显示防超重,防气体报警、防夹报警控制系统及源程序

void init_1602() //lcd1602初始化设置。1、本设计采用51单片机作为主控器。3、防超重,防气体报警、防夹报警。1、首先是系统初始化。

2023-11-20 19:57:32 449

原创 基于STM32单片机数字电压表自动切换量程及源程序

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。//ADC1,ADC通道,采样时间为239.5周期。//设置指定ADC的规则组通道,一个序列,采样时间。3、内部ADC采集电压0-12V,自动切换档位。1、本设计采用这STM32单片机作为主控器。四、 proteus仿真设计。//ch:通道值 0~3。1、首先是系统初始化。

2023-11-19 09:45:37 1215

原创 基于单片机音乐弹奏播放DS1302万年历显示及源程序

0xf0) //判断是否有按键按下。void init_1602_dis_csf() //初始化液晶。void init_1602() //lcd1602初始化设置。

2023-11-18 15:04:51 703

原创 基于单片机体温脉搏检测控制系统及源程序

/在读取温度的时候如果中断的太频繁了,就应该把中断给关了,否则会影响到18b20的时序。//显示模式设置:16×2显示,5×7点阵,8位数据接口 不检测忙信号。i++) //单总线写8位的数据需要循环8次才能写完。if(flag_200ms >= 200) //200毫秒到执行一次里面的程序。

2023-11-17 15:38:41 596

原创 基于STM32婴儿床检测控制系统及源程序

/ Parameters : x,y – 起始点坐标(x:0~127, y:0~7);// Parameters : x0,y0 – 起始点坐标(x0:0~127, y0:0~7);// Parameters : x,y – 起始点坐标(x:0~127, y:0~7);//PA.8 输出高。void OLED_Fill(unsigned char fill_Data)//全屏填充。

2023-11-16 19:24:20 346

原创 基于单片机无人售货机仿真及源程序

void lcd_1602_init() //LCD1602初始化函数。//choose ok: calculate the money //计算钱的总数。void lcd_1602_write_com(uchar com) //写命令。void lcd_1602_write_data(uchar date) //写数据。switch(e) //不同的按键进行不同的操作。case(0x0f): // 确认。

2023-11-15 15:22:47 176

原创 基于单片机教室人数实时检测系统仿真及源程序

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。//T0 16位工作方式。//发射端口常态为高电平。2、红外传感器检测进出人数,液晶1602显示。K3) //检测按键是否松开。s1) //检测按键是否松开。1、本设计采用51单片机作为主控器。*******1602写数据忙函数。3、按键最多容纳人数,烟雾报警。*******1602写命令函数。*******1602写温度函数。四、 proteus仿真设计。1、首先是系统初始化。

2023-11-14 20:40:38 508

原创 基于51单片机DS18B20温度检测报警系统串口设置阀值-仿真及源程序

/获取温度值并减去DS18B20的温漂误差。//精确延时,大于480us。//跳过读序号列号的操作。//跳过读序号列号的操作。//开始初始化保存的数据。//单片机将DQ拉低。

2023-11-13 20:03:44 454

原创 基于51单片机篮球控制器12864显示仿真及源程序

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。lcdDat=dat;//送出数据,并使之有效。/******** 任意尺寸点阵显示函数 ***********|| 显示内容:tab字模表 *****lcdDat=0xff;//口线置1,防止干扰。//置“数据、写”模式。//置“命令、读”模式。/** 写命令 参数:comDat为要发送的命令。3、主客队加减分、节数、24秒、复位等功能。写数据 参数:dat为要发送的数据 **/显示中英文字符串 */

2023-11-12 20:03:24 331 1

原创 基于DS1302时钟液晶12864显示2路闹钟仿真及源程序

/闹钟设置 alarm_time[0]: 分钟 alarm_time[1] :小时。//闹钟设置 alarm_time[0]: 分钟 alarm_time[1] :小时。//我把时间刷新放在这里,proteus会调用当前系统时间,= 0x0f ) //判断按键。

2023-11-11 20:54:08 392

原创 基于51单片机的万年历-脉搏计仿真及源程序

/对应的按键IO口输出为1。//lcd1602初始化显示。if(timecount

2023-11-10 19:53:10 131

原创 基于51单片机蓝牙智能控制风扇-proteus仿真-源程序

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。3、按键设置上下限,自动模式,低于下限,风扇不启动,下限到上限之间,风扇1档,大于上限风扇2档。//en由高变低,产生下降沿,液晶执行命令。//en由高变低,产生下降沿,液晶执行命令。//en置高电平,为制造下降沿做准备。//数据/指令选择置为指令。//数据/指令选择置为数据。

2023-11-09 15:08:15 600 1

原创 基于51单片机太阳能热水器控制系统-proteus仿真-程序

/初始化1602显示。if(flag_250ms == 1) //250ms 处理一次温度程序。

2023-11-08 15:01:52 1063

原创 基于单片机GP2D12测距-proteus仿真-源程序

/第二个脉冲,第2、3个脉冲下沉之前,DI必须跟别输入两位数据用于选择通道,这里选通道CH0。//第一个脉冲的下降沿,此前DIO必须是高电平。//DIO置1,规定的起始信号。//DIO置1, 通道选择信号。//CS置0,片选有效。本设计采用51单片机作为主控器,液晶1602显示,GP2D12采集距离值,按键设置报警阀值,测量值超过阀值,蜂鸣器报警。void lcd_write_com(char cmd) //写指令函数。

2023-11-06 20:33:48 1071 6

原创 基于STC15单片机温度光照蓝牙传输-proteus仿真-源程序

本设计采用STC15单片机作为主控器,液晶1602显示,DS18B20采集温度,光敏电阻采集光照、按键设置温度上下限,测量温度小于下限,启动加热,测量温度大于上限,启动降温。

2023-11-05 16:30:01 672

原创 单片机温湿度-光照-DHT11-烟雾气体检测控制系统-proteus仿真-源程序

LcdInit();// 定位到第0行第7列。// 定位到第0行第7列。// 定位到第0行第7列。// 定位到第0行第7列。

2023-11-04 11:06:02 577

原创 基于51单片机电子秤-proteus仿真-源程序

/ 14 0 15 13 //14:无功能(用于扩展)15:小数点 13:确定。// 7 8 9 10 //10:清除单价并且清除重量。// 4 5 6 11 //11:清除单价最后一位。// 1 2 3 12 //12:去皮。

2023-11-03 21:08:07 423

原创 基于51单片机气体检测报警系统-proteus仿真-源程序

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。void lcdxy(uchar hlcd,uchar addlcd,uchar dtlcd) //定位写数据。void lcdwd(uchar lcd_data) //液晶数据。void lcdwc(uchar lcd_cmd) //液晶命令。void init_1602() //初始化。2、MQ5采集气体值送到液晶1602显示。//延时消除按键抖动。1、本设计采用51单片机作为主控器。3、按键设置报警阀值,声光报警。

2023-09-26 16:39:36 215

原创 51单片机自行车码表 速度里程计霍尔测速模拟电机设计

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。本设计采用51单片机作为主控器,霍尔测速,数码管显示速度及里程数。//将100ms内的脉冲数。//里程m=速度km/h。TMOD=0x01;//定时器工作于方式1。//INT0负跳变触发。//CPU开中断总允许。//开外部INTO中断。四、 proteus仿真设计。1、首先是系统初始化。

2023-09-25 16:48:48 733

原创 基于AVR128单片机抢答器控制系统

最后根据测试没问题的仿真图纸,焊接实物,调试,最终完成本设计的作品。switch(tcnt) //循环8次刷新两组数码管。enable = 1;scan_key();四、 proteus仿真设计。//数码管动态刷新函数。1、首先是系统初始化。1) //用户答题。

2023-09-24 15:00:55 145

原创 基于AVR128单片机智能电风扇控制系统

使用8LED数码管和液晶显示屏LGM12641BS1R时,“自然风”显示“1”、“常风”显示“2”及“睡眠风”显示“3”,使用12864液晶显示屏LGM12641BS1R LM3228时使用中文显示。再使用两个按键S4和S5,S4用于定时电风扇定时时间长短的设置,每按一次S4键,定时时间增加10秒,最长60秒,超过60秒回到0秒重新开始。//系统初始化,设置IO口属性。if(update == 1 ) //如果显示更新标志位为1。

2023-09-23 20:55:04 639

原创 用AVR128单片机的音乐门铃

Set_Frq(0);4、用LCD液晶显示芯片或数码管显示所用的定时器(ATmega128有定时器0-3),定时器时钟值、定时器的定时/计数器的初值及两种声音频率的持续时间等参数。case 1 :if(scnt>300) //时间大于300毫秒。//start Timer //定时器不分频。if(scnt>1000) //时间大于1000ms。Set_Frq(1);

2023-09-22 21:07:56 403

原创 基于51单片机多路DTH11温湿度检测控制系统

/初始化LCD************************************************************//开启显示, 无光标。//----温度高8位== U8T_data_H------//----温度低8位== U8T_data_L------//----湿度高8位== U8RH_data_H-----//----湿度低8位== U8RH_data_L-----//-----温湿度读取子程序 ------------//----调用相关子程序如下----------

2023-09-21 15:56:43 942

原创 基于STC15单片机电子时钟液晶1602串口显示-proteus仿真-源程序

/LCD1602初始化。入口参数:uint8 X, uint8 Y, uint8 DData X代表第X+1列,Y代表第Y+1行。//使能线电平变化,命令送入1602的8位数据口。//使能线电平变化,数据送入1602的8位数据口。//显示地址递增,即写一个数据后,显示位置右移一位。while (DData[ListLength]>0x19) //若到达字串尾则退出。//开启显示屏,关光标,光标不闪烁。//当要显示第二行时地址码+0x40;

2023-09-20 20:25:34 833

原创 基于单片机火灾报警器仿真设计

/在读取温度的时候如果中断的太频繁了,就应该把中断给关了,否则会影响到18b20的时序。= 0x0f) //按键按下。if(key_can == 2) //取消报警 把变量清零。//向18b20总线写数据了。//初始化18b20。if(key_can == 3) //布防按键。//读取18b20初始化信号。

2023-09-19 14:31:14 601

基于单片机STM32-脉搏心跳测量控制系统-proteus仿真-源程序.rar

(1)本系统采用STM32单片机作为主控器; (2)单片机测量心率值,送到数码管显示,Proteus里面没有心率传感器,使用脉冲替代心率传感器; (4)按键设置心率报警阀值,小于阀值绿灯电路,大于阀值,红灯点亮。

2023-11-26

基于单片机STM32-电机测速控制系统-proteus仿真-源程序.rar

(1)本系统采用STM32单片机作为主控器; (2)单片机电机转速,送到数码管显示,Proteus里面没有霍尔传感器,使用脉冲替代霍尔传感器; (4)按键设置转速报警阀值,小于阀值绿灯电路,大于阀值,红灯点亮。

2023-11-26

基于STM32温湿度DHT11-数码管显示控制系统-proteus仿真-源程序.rar

(1)本系统采用STM32单片机作为主控器; (2)DHT11采集温湿度值,送到数码管显示; (3)按键设置温湿度阀值。 (4)实际温度高于阀值,降温继电器打开,实际温度低于阀值,继电器都关闭。实际湿度高于阀值,除湿继电器打开,实际湿度低于阀值,继电器都关闭。

2023-11-26

基于STM32智能温湿度计DHT11传感器-proteus仿真-源程序.rar

(1)本系统采用STM32单片机作为主控器; (2)DHT11采集温湿度值,送到数码管显示;

2023-11-26

基于AVR单片机计算器毕业设计

1、本设计采用ATMEGA16单片机作为主控器。 2、液晶1602显示。 3、支持加减乘除运算。 4、编译器使用的CVAVR软件。

2023-02-28

100多道经典的Java面试题非常全面,如果你有更好的JAVA面试题,可以再评论中补充

100多道经典的Java面试题非常全面,如果你有更好的JAVA面试题,可以再评论中补充。

2023-02-02

基于单片机PWM调速任务书

PWM调速任务书

2022-11-20

多功能病床设计任务书.doc

多功能病床设计任务书.doc

2022-11-07

基于51单片机ACS712电流测量-DS18B20温度检测

1、本设计采用51单片机作为主控制器。 2、ACS712电流测量。 3、DS18B20测温度值,送到液晶1602显示。

2022-10-23

基于51单片机温度控制器 时间万年历显示 恒温箱设计 DS18B20测温-proteus仿真.rar

单片机的恒温箱(液晶板)系统采用51单片机+按键+液晶1602+DS18B20+继电器+蜂鸣器+DS1302+蓝牙设计而成。 1、能够实时监测温度的变化,并且通过液晶显示,手机蓝牙显示温度值。 2、测量范围为0到99.9摄氏度,精度为0.1摄氏度。 3、可设置上下限控制温度。 4、具有时钟功能,可以将当前的年月日、时分秒显示在液晶上。 5、当环境温度超过设置的上限值,继电器吸合,绿灯亮,代表散热。当环境温度低于设置的下限值,继电器吸合,红灯亮,代表加热。

2022-09-10

基于单片机简易示波器.rar

1、本设计采用52单片机作为主控器。 2、单片机通过ADC0808读取信号发生器所产生的波形通过12864进行显示,可显示方波,三角波,锯齿波,正弦波等。

2022-08-05

基于STM32温度DS18B20-数码管显示控制系统-proteus仿真-源程序.rar

(1)本系统采用STM32单片机作为主控器; (2)DS18B20采集温度值,送到数码管显示; (3)按键设置温度上下限。 (4)实际温度低于下限,加热继电器打开,实际温度大于上限,降温继电器打开,实际温度高于下限,小于下限,继电器都关闭。

2022-07-14

基于STM32智能温度计-proteus仿真-源程序.rar

(1)本系统采用STM32单片机作为主控器; (2)DS18B20采集温度值,送到4位数码管显示;

2022-07-13

基于STM32程序万年历液晶1602显示-proteus仿真-源程序-报告.rar

(1)本系统采用STM32单片机作为主控器; (2)定时器计时,时分秒年月日星期送到液晶1602显示; (4)按键设置时分秒年月日星期。

2022-07-13

基于STM32-电子时钟-数码管显示-proteus仿真-源程序.rar

(1)本系统采用STM32单片机作为主控器; (2)定时器计时,时分秒送到数码管显示; (4)按键设置时分秒。

2022-07-13

基于STM32智能电风扇控制系统-proteus仿真-源程序.rar

(1)本系统采用STM32单片机作为主控器; (2)DS18B20采集温度值,送到数码管显示; (4)按键设置温度上下限,低于下限,风扇不启动,高于下限,小于上限,风扇1档运行,大于上限,风扇2档运行。

2022-07-13

基于单片机串口控制LED-proteus仿真-源程序.rar

1、本设计采用51单片机作为主控器。 2、单片机控制LED,发送命令1,打开小灯,发送命令2,关闭小灯。

2022-07-13

基于单片机超声波测距液晶1602显示-proteus仿真-源程序.rar

1、本设计采用51单片机作为主控器。 2、超声波模块采集距离,送到液晶1602显示。

2022-07-13

基于STM32-DS18B20-按键设置报警阀值-OLED显示-proteus仿真-程序.rar

(1)本系统采用STM32单片机作为主控器; (2)DS18B20采集温度值,送到液晶OLED显示; (3)OLED采用IIC通信方式。 (4)按键设置阀值,低于阀值,加热继电器启动,开始加热,高于阀值,停止加热。

2022-07-12

基于单片机病房呼叫系统-proteus仿真-源程序.rar

1、本设计采用51单片机作为主控器。 2、矩阵键盘键盘输入,代表12个病房,按下数码管显示对应编号,声光报警。 3、清除按键取消声光报警。

2022-07-12

基于普中开发版8X8点阵滚动显示-源程序-proteus仿真.rar

1、本设计采用51单片机作为主控器。 2、8*8点阵滚动显示祝你生日快乐。 3、显示内容可以自己进行修改。

2022-07-12

基于单片机16X16点阵显示源程序-仿真.rar

1、本设计采用51单片机作为主控器。 2、16*16点阵显示。 3、按键切换显示内容。 4、显示内容为车辆工程,谢文霏 于文谦作品。 5、显示内容可以自己进行修改。修改软件包含在文件里面。

2022-07-12

基于DS1302-DS18B20-记录温度值-proteus仿真-源程序.rar

1、本设计采用52单片机作为主控器。 2、DS18B20采集温度值,送到液晶显示。 3、DS1302计时,显示时分秒,按键进行校时。 4、温度测量值自动保存功能,保存3组数据。

2022-07-12

基于单片机16个按键音乐电子琴-proteus仿真-源程序.rar

1、本设计采用52单片机作为主控器。 2、数码管显示矩阵键盘编号,16个按键,按下按键播放不同的音。 3、内置一首音乐,独立按键播放该音乐。

2022-07-11

基于STM32-DS18B20-OLED显示-proteus仿真-程序.rar

(1)本系统采用STM32单片机作为主控器; (2)DS18B20采集温度值,送到液晶OLED显示; (3)OLED采用IIC通信方式。

2022-07-11

基于单片机定时器数码管显示时间-proteus仿真-源程序.rar

1、本设计采用52单片机作为主控器。 2、定时器计时,数码管显示时分秒。 3、按键设置时分秒。

2022-07-06

基于单片机DHT11温湿度12864液晶显示-proteus仿真-源程序-参考报告.rar

1、本设计采用51单片机作为主控器。 2、DHT11采集温湿度,送到液晶12864显示温湿度。 3、按键设置温湿度上下限。 4、实际温湿度低于下限,或者高于上限,蜂鸣器报警。

2022-07-06

基于单片机MAX7219驱动数码管滚动显示-proteus仿真-源程序.rar

1、本设计采用51单片机作为主控器。 2、利用按键切换数码管静止显示和向左滚动显示,滚动显示利用定时器0定时10MS,再定义一个变量,记录中断次数,等于200,就是2秒,2秒向向左滚动一次。 3、数码管驱动采用MAX7219。

2022-07-02

基于单片机倒计分秒-1602显示-proteus仿真-源程序.rar

1、本设计采用51单片机作为主控器。 2、按键设置倒计时时间。 3、按下开始倒计时开始计时。 4、计时到了,蜂鸣器报警。

2022-07-02

基于AVR单片机电机H桥-proteus仿真-源程序.rar

(1)本系统采用AVR单片机作为主控器; (2)H桥控制电机,PWM电机调速 (3)按键开始暂停加速减速正反转控制电机

2022-07-02

基于单片机超声波测距-4路超声波-proteus仿真-程序.rar

(1)本系统采用51单片机作为主控器; (2)4路超声波模块测量距离,液晶显示4路超声波距离。 (3)按键设置报警阀值,实际距离越靠近设置阀值,蜂鸣器报警频率越慢。

2022-07-01

基于单片机超声波测距-光照测量-proteus仿真-源程序.rar

(1)本系统采用51单片机作为主控器; (2)ADC0832采集光照强度,液晶1602显示光照强度。 (3)超声波模块测量距离,液晶显示。 (4)光线强度小于100,且距离大于30,LED点亮,蜂鸣器报警。

2022-07-01

基于单片机压力传感器MPX4115检测-报警系统-proteus仿真-源程序.rar

(1)本系统采用51单片机作为主控器; (2)ADC0832采集MPX4115气压值,液晶1602显示气压值。 (3)按键设置报警阀值,实际测量值小于设置阀值D1点亮,实际测量值大于设置阀值D1点亮。

2022-07-01

基于单片机从机采集气压,主机液晶显示-485通信(proteus仿真+源程序).rar

(1)本系统采用51单片机作为主控器; (2)从机采集MPX4115气压值,通过485通信送给监控室液晶LM041L显示。

2022-07-01

基于单片机超声波测距-DHT11温湿度-proteus仿真-源程序.rar

(1)本系统采用51单片机作为主控器; (2)超声波检测距离,送到液晶1602显示。 (3)DHT11检测环境温湿度,送到液晶1602显示。 (4)大于50小于85报警频率最快,大于85小于120报警频率第二快,大于120小于150报警频率第三快,大于150蜂鸣器不报警,小于50一直报警。

2022-07-01

基于单片机高校照明控制系统程序+仿真-原理图.rar

1、本设计采用52单片机作为主控制器。 2、液晶1602显示,DS1302计时。 3、按键设置模式,自动模式,人数模式。 4、自动模式液晶显示auto,这个显示光照强度小于设置值,3台灯全部打开。 5、人数模式显示hand,会根据人数,打开相应的灯数,1-10人打开1台灯,11-20打开2台灯,20以上打开3台灯。 6、按键可以设置当前时间,光照设置值。

2022-06-29

基于单片机密码锁-万年历-温度DS18B20-断电保存-仿真-原理图-源程序.rar

一、主要功能: 1.按键设置6位密码,输入密码若密码正确,则锁打开。显示pass初始化密码123456,输入密码完成之后,按下确定按键,可以开密码锁,如果输入过程中,有错误,需要修改,按下删除按键,或者取消按键,删除按键删除前一位,取消按键,按下重新输入新的密码。 2.密码可以自己修改(6位密码),必须是锁打开时才能改密。为防止误操作,修改密码得输入两次,开密码锁状态按下修改密码按键,既可以修改密码。 3.若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘,直到按下单片机复位按键。 4.24C04掉电保存密码功能。 5、如果忘记密码,我们可以按下123123,再按下管理员按键,密码可以初始成123456. 6、DS1302计时,液晶显示年月日,时分秒。 7、DS18B20采集温度值,送到液晶1602显示。

2022-06-29

基于单片机密码锁-DS18B20温度-DS1302时钟显示万年历-Proteus仿真-源程序.rar

使用说明 一、主要功能: 1.按键设置6位密码,输入密码若密码正确,则锁打开。显示open! 2.密码可以自己修改(6位密码),必须是锁打开时才能改密。为防止误操作,修改密码得输入两次。 3.若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘。 4.DS1302计时,液晶1602显示年月日,时分秒。 5.DS18B20采集温度值,送到液晶1602显示。 二、按键说明: 排列方式: 1 2 3 A 4 5 6 B 7 8 9 C * 0 # D ABC无定义、 *号键为取消当前操作、#号键为确认、D键为修改密码 三、调整说明: 1、初始化密码123456,输入完按下#按键,按下 * 键或复位键,可以关锁并退出。 2、当输入密码错误后,报警并锁定键盘3秒钟。 3、修改密码:在开锁状态下,再次输入正确的密码并按下 # 键,此时听到两声提示,输入新的六位密码并按 D 键,再重复输入一次新密码并按 D ,会听到两声提示音,表示重设密码成功,内部保存新密码并存储。如两次输入的新密码不一样,则重设密码失败。

2022-06-29

基于单片机MQ4-MQ7气体-DHT11温湿度-PM2.5-蓝牙传输.rar

1、本系统采用STC89C52作为主控制器,液晶1602显示。 2、本系统采用MQ4检测甲烷气体,MQ7检测CO气体,GP2Y1014AU0F 传感器采集PM2.5浓度、DHT11采集温湿度。 3、通过蓝牙将数据传输到手机蓝牙助手。

2022-06-28

基于单片机DS18B20-DHT11-红外遥控器(实物+源程序).rar

(1)本系统采用52单片机作为主控器; (2)DS18B20采集温度,DHT11采集湿度,送到液晶1602显示。 (3)按键温度上下限。 (4)实际温度小于下限,加热指示灯点亮,实际温度大于上限,降温指示灯点亮。

2022-06-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除