Quartus18.0同Modelsim的联合仿真( VHDL 版)

建立VHDL的工程,编写代码。

附上该例子的代码

library ieee;

use ieee.std_logic_1164.all;

entity test1 is

      port (a,b : in std_logic;

                    s : in std_logic;

                    y : out std_logic);

      end  entity test1;

     

architecture bhv of test1 is

      begin

             process(a,b,s)

             begin

             if (s='1') then y<=a ; else y<=b;

             end if;

             end process;

            

      end architecture bhv;

然后

编译工程,然后再回到这个界面

一路OK和next,完成后再将工程编译一遍。

如果需要界面重置:layout->reset

找到工程名字相同那个,双击

一整个拖过去可以直接点住test1拖过去

没赋值的仿真就全是低电平线,所以需要赋初始值

时钟信号可以选择不同频率,从而方便验证输入信号与输出信号的关系 

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值