学习ZYNQ之FPGA4(ILA的使用)

ILA是一种调试手段,有两种方式:

一种是HDL:

点击IP Catalog,查询ILA,在Number of Probes处选择观察信号的个数,并设置信号的位宽,选择ooc模式,找到生成的例化模板,将例化模板与系统信号连接,生成比特流。

另一种是标记Debug,即在网表里添加被测信号:

在综合里打开设计文件,打开Debug窗口,选择被调试信号右键MARK Debug(在网表里添加观察信号会使得有些信号被优化,添加不进来,需要我们在代码中进行修改)。

在信号定义的地方前加入(*mark_debug="ture"*)后,软件就不会对信号进行优化。完成后进行综合,生成比特流。

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值