基于环形队列(RingQueue)的单生产者单消费者模型(借助信号量维护生产者和消费者之间的同步关系)

之前说过基于阻塞队列的生产者消费者模型,当时使用的是条件变量,当队列已满,就将生产者线程加入到条件变量阻塞等待;当队列已空,就将消费者线程加入到条件变量阻塞等待。 

这次我们采用环形队列来实现生产者消费者模型,使用的是信号量


目录

一、环形队列

1、规则一:消费者不能超过生产者

2、规则二:生产者/消费者 通过下标 添加/消费 数据

二、基于环形队列的生产者消费者模型

1、成员变量

2、构造函数

3、析构函数

 4、Push函数

 5、Pop函数


一、环形队列

环形队列的模型图如下,生产者和消费者共用一个容器,一开始两者从同一个地方出发,生产者先放数据,消费者跟在后面拿数据。为了保证这个行为可以持续,我们需要遵守几个规则。

1、规则一:消费者不能超过生产者

消费者取数据的前提就是,所在位置中有数据。如果生产者只生产了三个数据,但是消费者却已经走了四个位置,这很显然是不合理的,当所在位置无数据时,消费者应该停下来。

==》所以我们采取的措施是 设置空白位置的信号量blank_sem数据个数的信号量data_sem

信号量的本质就是一个计数器,生产者要添加数据,就需要空位置,所以需要一个记录有多少空白位置的计数器;消费者要减少数据,就需要有数据,所以需要一个有多少数据可供自己消费的计数器。可消费的数据个数为0时,data_sem就会阻止消费者继续消费数据,同时将消费者线程挂起!

如果对信号量的申请和释放过程不熟悉,可以参考下面这个博客

Linux 信号量及其操作函数_abs(ln(1+NaN))的博客-CSDN博客介绍信号量的概念、信号量的申请和释放过程及其操作函数https://blog.csdn.net/challenglistic/article/details/124862942?spm=1001.2014.3001.5501

2、规则二:生产者/消费者 通过下标 添加/消费 数据

容器的大小是有限的,假设容量大小capacity = 10,因为这里是一个环形队列,采用push_back尾插的方式会造成越界!

==》所以这里引入下标 producter_index、consumer_index,分别表示生产者生产到哪个位置了、消费者消费到哪个位置了。

每当生产者添加一个数据,producter_index++,然后 producter_index %= capacity

每当消费者消费一个数据,consumer_index++,然后 consumer_index %= capacity

ps:取模的目的是将下标控制在 0~capacity-1之间

二、基于环形队列的生产者消费者模型

关于一些成员变量的来源已经在上面介绍过了

1、成员变量

2、构造函数

3、析构函数

 4、Push函数

要添加数据,就必须要先申请空白位置,添加数据完毕以后,数据个数要 +1,就相当于释放数据信号量

 5、Pop函数

要消费数据,就必须要先申请数据,此时空白位置数 + 1,相当于空白位置信号量释放了一次

关于代码的测试可以参考下面这篇博客的测试代码

基于阻塞队列(BlockingQueue)的单生产者单消费者模型(维护消费者之间或者生产者之间的互斥关系)_abs(ln(1+NaN))的博客-CSDN博客_多线程生产者消费者模型生产者和消费者模式是通过一个容器实现生产者和消费者的强耦合,彼此之间不通信,而是通过阻塞队列来通信,这个阻塞队列就是用来给生产者和消费者解耦的!https://blog.csdn.net/challenglistic/article/details/124786830

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值