【裸机开发】链接脚本(.lds文件)的基本语法

目录

一、什么是链接脚本?

二、链接脚本的基本语法格式

1、常用命令

2、内置变量

三、链接脚本的简单案例


一、什么是链接脚本?

一段程序的编译需要经历四个阶段(预处理—编译—汇编—链接),而链接脚本管理的就是其中的“链接”阶段。一段程序往往包含了变量、常量、数据(代码逻辑),他们属于不同的段:

  • .bss段:一个全局变量,没有被初始化 或者 被初始化为0。
  • .data:一个全局变量,非const类型,已被初始化(初始值必须是非0值)
  • .rodata段:read only data,如字符串常量、const修饰的变量都会被保存到该段
  • .text段:程序代码段,更进一步讲是存放处理器的机器指令。函数代码逻辑都会保存到该段

链接脚本决定了一个可执行程序的各个段的存储位置,相当于要给程序中的数据和变量进行分类,并确定每一类的存放位置

注意:实际涉及的段远不止这四个,这里只是列举了我们所熟知的段

二、链接脚本的基本语法格式

1、常用命令

命令说明举例
ENTRY(symbol)

这里的symbol指的是符号表中的符号。汇编阶段会生成符号表,符号表中的符号包括静态变量、全局变量、函数名等。

这是将某一个符号symbol的值设为入口地址(进程执行的第一条用户空间指令就会从此处开始执行)

ENTRY(_start)
OUTPUT_ARCH设置输出文件的目标平台架构OUTPUT_ARCH(arm)
SECTIONS告诉链接器如何把输入文件映射到内存指定位置(即设置各个段的位置)

SECTIONS

{

    ...

}

更多命令参考:lds文件命令

2、内置变量

.bss:表示bss段

.data:表示data段

.rodata:表示rodata段

.text:表示text段

. :定位器(暂不解释,下面示例说明的时候会更有体会)

三、链接脚本的简单案例

以下面这个链接脚本为例。冒号、等号的两边需要有空格,否则可能会在编译的时候报语法错误。

1、第 2 行

. 表示定位器,你可以理解为一个指针,此时指针指向的是 0x87800000 的位置。

2、第 4 - 8 行

.text 开头,说明这里要设置的是 text 段相关的内容了。每一个文件都可能存在 .text段、.data 段 相关的内容,* 是通配符,表示所有文件;*(.text) 表示每个文件与 .text 段相关的内容。

这里想表达的意思是,把 obj 下的 start.o 和 其他所有输入文件与 .text 段相关的内容统一保存到DDR的 .text 段。

3、第 9 行

如果这里没有 ALIGN(4),根据.text 的理解就是,所有输入文件和 .rodata 段相关的内容都保存到DDR的 .rodata 段。

ALIGN(4) 的作用是地址对齐,即DDR 的 .rodata 段的起始地址必须是 4 的整数倍。如果没有ALIGN(4),rodata段是紧跟在 .text 的后面的。(假设 .text 段的大小是 0x1001)

.rodata :
{
    *(.rodata)
}

如果加了 ALIGN(4),此时 .rodata 的起始地址必须是 4 的整数倍。这么做的目的是提升内存访问效率,内存在访问该段的时候,没必要每个地址都去查,只要查 4 的整数倍的地址即可。

// 也可以是 ALIGN(8)
.rodata ALIGN(4) :
{
    *(.rodata)
}

4、第10 行

参考第 8 行的解析

5、第 11 - 14 行

__bss_start 和 __bss_end 并非内置变量,而是自定义符号,以便用于保存 bss 段的起始位置和结束地址。前面提到 . 表示定位器,即便我们中间没有去手动管理,它也会自动跟随我们的操作进行移动。第 13 行可以参考第 8 行的解析。

问:为什么需要保存 .bss 段的起始位置和结束位置?

答:

        .bss 段是保存了被定义但是没有被初始化的变量,我们需要手动对 .bss 段的变量清零,为此我们就需要知道 .bss 段的起始位置和结束地址,以便于之后在 C文件或者汇编文件中直接引用

问:第 11 行的 . = ALIGN 有什么用?

答:

        后续我们可能在其他地方会使用符号 __bss_start 和 __bss_end,CPU 每次从内存取址都是一次拿4个字节,如果 __bss_start 不是 4 字节对齐,CPU 从 __bss_start 位置拿到的指令很有可能是残缺的。

        因此,第 11 行在对定位器进行 4 字节对齐操作。

  • 20
    点赞
  • 67
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 我可以为您编写一个链接文件.lds链接初始地址为0x87600000:MEMORY { ROM : ORIGIN = 0x87600000, LENGTH = 0x8000 RAM : ORIGIN = 0x87000000, LENGTH = 0x8000 }SECTIONS { .text : { *(.text*) } > ROM .data : { *(.data*) } > RAM } ### 回答2: 链接文件(Linker Script)是用于告诉链接器如何将源文件组合成一个可执行文件脚本文件。根据你的要求,我可以帮你编写一个链接文件.lds链接初始地址为0x87600000,如下所示: ``` /* 文件名称:链接文件.lds */ OUTPUT_FORMAT("elf32-littlearm", "elf32-bigarm", "elf32-littlearm") OUTPUT_ARCH(arm) ENTRY(start) SECTIONS { /* 可执行代码段 */ .text : { /* 链接起始地址为0x87600000 */ . = 0x87600000; *(.text) *(.rodata) /* 保留空间,如果需要 */ . = . + 0x1000; /* 可执行代码结束 */ _etext = .; } /* 数据段 */ .data : { /* 数据起始地址紧随可执行代码结束 */ *(.data) /* 保留空间,如果需要 */ . = . + 0x1000; /* 数据结束 */ _edata = .; } /* 未初始化数据段 */ .bss : { /* bss段紧随数据段结束 */ *(.bss) /* 保留空间,如果需要 */ . = . + 0x1000; /* bss结束 */ _end = .; } /* 栈段 */ .stack (NOLOAD) : { /* 栈段地址,可以根据需求自行修改 */ . = 0x90000000; . += 0x1000; } /* 保留段 */ /DISCARD/ : { *(.comment) } } ``` 这个链接文件中,主要定义了四个段:可执行代码段(.text)、数据段(.data)、未初始化数据段(.bss)和栈段(.stack)。其中,可执行代码段的链接起始地址为0x87600000,并且在各个段末尾预留了0x1000(4KB)的空间,以防需要后续扩展。栈段的地址被设定为0x90000000,并留出了0x1000(4KB)的大小。 以上就是一个链接文件.lds的简单示例,你可以根据实际需求进一步修改和补充。 ### 回答3: 链接文件(Linker Script)是用于指导链接器将各个目标文件合并生成可执行文件的一种脚本文件。根据你提供的需求,我会帮你编写一个链接文件.lds链接初始地址为0x87600000,内容如下: ``` SECTIONS { /* 全局变量 */ .bss ALIGN(4) : { /* BSS段起始地址 */ __bss_start = .; *(.bss) *(COMMON) /* BSS段结束地址 */ __bss_end = .; } >RAM /* 只读数据 */ .rodata ALIGN(4) : { /* 只读数据段起始地址 */ __rodata_start = .; *(.rodata) *(.rodata.*) *(.gnu.linkonce.r*) /* 只读数据段结束地址 */ __rodata_end = .; } >ROM /* 初始化数据 */ .data ALIGN(4) : { /* 初始化数据段起始地址 */ __data_start = .; *(.data) *(.data.*) *(.gnu.linkonce.d*) /* 初始化数据段结束地址 */ __data_end = .; } >RAM AT>ROM /* 可执行代码 */ .text ALIGN(4) : { /* 可执行代码段起始地址 */ __text_start = .; *(.text) *(.text.*) *(.gnu.linkonce.t*) /* 可执行代码段结束地址 */ __text_end = .; } >ROM /* 栈 */ .stack (NOLOAD): { /* 栈起始地址 */ __stack_start = .; . = . + 0x1000; /* 栈结束地址 */ __stack_end = .; } >RAM /* 内存布局 */ MEMORY { ROM : ORIGIN = 0x87600000, LENGTH = YOUR_ROM_SIZE RAM : ORIGIN = 0x80000000, LENGTH = YOUR_RAM_SIZE } } ``` 在这个链接文件中,我定义了四个段(.bss、.rodata、.data、.text)来分别存放全局变量、只读数据、初始化数据和可执行代码。同时,我添加了一个.stack段来存放程序的栈空间。 这个链接文件指定了如何将各个段分配到ROM和RAM中,并赋予它们起始地址和长度。在你使用此链接文件时,需要将YOUR_ROM_SIZE和YOUR_RAM_SIZE替换为实际的ROM和RAM大小。 希望这个链接文件满足你的需求,如有任何问题,请随时与我联系。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值